3,346 research outputs found

    Scheduling for next generation WLANs: filling the gap between offered and observed data rates

    Get PDF
    In wireless networks, opportunistic scheduling is used to increase system throughput by exploiting multi-user diversity. Although recent advances have increased physical layer data rates supported in wireless local area networks (WLANs), actual throughput realized are significantly lower due to overhead. Accordingly, the frame aggregation concept is used in next generation WLANs to improve efficiency. However, with frame aggregation, traditional opportunistic schemes are no longer optimal. In this paper, we propose schedulers that take queue and channel conditions into account jointly, to maximize throughput observed at the users for next generation WLANs. We also extend this work to design two schedulers that perform block scheduling for maximizing network throughput over multiple transmission sequences. For these schedulers, which make decisions over long time durations, we model the system using queueing theory and determine users' temporal access proportions according to this model. Through detailed simulations, we show that all our proposed algorithms offer significant throughput improvement, better fairness, and much lower delay compared with traditional opportunistic schedulers, facilitating the practical use of the evolving standard for next generation wireless networks

    PACE: Simple Multi-hop Scheduling for Single-radio 802.11-based Stub Wireless Mesh Networks

    Get PDF
    IEEE 802.11-based Stub Wireless Mesh Networks (WMNs) are a cost-effective and flexible solution to extend wired network infrastructures. Yet, they suffer from two major problems: inefficiency and unfairness. A number of approaches have been proposed to tackle these problems, but they are too restrictive, highly complex, or require time synchronization and modifications to the IEEE 802.11 MAC. PACE is a simple multi-hop scheduling mechanism for Stub WMNs overlaid on the IEEE 802.11 MAC that jointly addresses the inefficiency and unfairness problems. It limits transmissions to a single mesh node at each time and ensures that each node has the opportunity to transmit a packet in each network-wide transmission round. Simulation results demonstrate that PACE can achieve optimal network capacity utilization and greatly outperforms state of the art CSMA/CA-based solutions as far as goodput, delay, and fairness are concerned

    A REVIEW OF MULTIHOP BASED MEDIUM ACCESS CONTROL (MAC) PROTOCOL TO TACKLE BOTH MULTIPLE ACCESS AND MULTIHOP ISSUES IN WIRELESS MESH NETWORK (WMN)

    Get PDF
    Multihop WMN plays an important role in the next-generation wireless communication. It promised a solution to provide ubiquitous wireless access at low cost and with architecture that easy to be deployed and maintained. A big challenge in designing WMN is to utilize the shared medium, the wireless communication channel, effectively. MAC protocol, the shared medium access controller, therefore plays a critical role in the channel utilization. The better the channel is utilized in WMN, the better is the performance of the WMN. One of the techniques to improve channel utilization is by enabling the concurrent transmission and providing an efficient forwarding operation. However, the existing IEEE 802.11 MAC does not supports those operations in WMN thus degrades the throughput significantly. To date, various multihop based MAC protocols was developed and proposed by researchers with the aim to regulate and solve access issues among all radio nodes in the network. This article presents an exhaustive survey of multihop based contention MAC protocols that proposed to solve exposed node and forwarding issues in multihop WMN. Besides that, the comparison, their operations, advantages and disadvantages of all identified MAC protocols also will be explained and presented in this article

    System level modelling and design of hypergraph based wireless system area networks for multi-computer systems

    Get PDF
    This thesis deals with issues pertaining the wireless multicomputer interconnection networks namely topology and Medium Access Control (MAC). It argues that new channel assignment technique based on regular low-dimensional hypergraph networks, the dual radio wireless hypermesh, represents a promising alternative high-performance wireless interconnection network for the future multicomputers to shared communication medium networks and/or ordinary wireless mesh networks, which have been widely used in current wireless networks. The focus of this work is on improving the network throughput while maintaining a relatively low latency of a wireless network system. By means of a Carrier Sense Multiple Access (CSMA) based design of the MAC protocol and based on the desirable features of hypermesh network topology a relatively high performance network has been introduced. Compared to the CSMA shared communication channel model, which is currently the de facto MAC protocol for most of wireless networks, our design is shown to achieve a significant increase in network throughput with less average network latency for large number of communication nodes. SystemC model of the proposed wireless hypermesh, validated through mathematical models, are then introduced. The analysis has been incorporated in the proper SystemC design methodology which facilitates the integration of communication modelling into the design modelling at the early stages of the system development. Another important application of SystemC modelling techniques is to perform meaningful comparative studies of different protocols, or new implementations to determine which communication scenario performs better and the ability to modify models to test system sensitivity and tune performance. Effects of different design parameters (e.g., packet sizes, number of nodes) has been carried out throughout this work. The results shows that the proposed structure has out perform the existing shared medium network structure and it can support relatively high number of wireless connected computers than conventional networks

    Low Power, Low Delay: Opportunistic Routing meets Duty Cycling

    Get PDF
    Traditionally, routing in wireless sensor networks consists of two steps: First, the routing protocol selects a next hop, and, second, the MAC protocol waits for the intended destination to wake up and receive the data. This design makes it difficult to adapt to link dynamics and introduces delays while waiting for the next hop to wake up. In this paper we introduce ORW, a practical opportunistic routing scheme for wireless sensor networks. In a dutycycled setting, packets are addressed to sets of potential receivers and forwarded by the neighbor that wakes up first and successfully receives the packet. This reduces delay and energy consumption by utilizing all neighbors as potential forwarders. Furthermore, this increases resilience to wireless link dynamics by exploiting spatial diversity. Our results show that ORW reduces radio duty-cycles on average by 50% (up to 90% on individual nodes) and delays by 30% to 90% when compared to the state of the art

    Ethernet - a survey on its fields of application

    Get PDF
    During the last decades, Ethernet progressively became the most widely used local area networking (LAN) technology. Apart from LAN installations, Ethernet became also attractive for many other fields of application, ranging from industry to avionics, telecommunication, and multimedia. The expanded application of this technology is mainly due to its significant assets like reduced cost, backward-compatibility, flexibility, and expandability. However, this new trend raises some problems concerning the services of the protocol and the requirements for each application. Therefore, specific adaptations prove essential to integrate this communication technology in each field of application. Our primary objective is to show how Ethernet has been enhanced to comply with the specific requirements of several application fields, particularly in transport, embedded and multimedia contexts. The paper first describes the common Ethernet LAN technology and highlights its main features. It reviews the most important specific Ethernet versions with respect to each application field’s requirements. Finally, we compare these different fields of application and we particularly focus on the fundamental concepts and the quality of service capabilities of each proposal

    Scalability of broadcast performance in wireless network-on-chip

    Get PDF
    Networks-on-Chip (NoCs) are currently the paradigm of choice to interconnect the cores of a chip multiprocessor. However, conventional NoCs may not suffice to fulfill the on-chip communication requirements of processors with hundreds or thousands of cores. The main reason is that the performance of such networks drops as the number of cores grows, especially in the presence of multicast and broadcast traffic. This not only limits the scalability of current multiprocessor architectures, but also sets a performance wall that prevents the development of architectures that generate moderate-to-high levels of multicast. In this paper, a Wireless Network-on-Chip (WNoC) where all cores share a single broadband channel is presented. Such design is conceived to provide low latency and ordered delivery for multicast/broadcast traffic, in an attempt to complement a wireline NoC that will transport the rest of communication flows. To assess the feasibility of this approach, the network performance of WNoC is analyzed as a function of the system size and the channel capacity, and then compared to that of wireline NoCs with embedded multicast support. Based on this evaluation, preliminary results on the potential performance of the proposed hybrid scheme are provided, together with guidelines for the design of MAC protocols for WNoC.Peer ReviewedPostprint (published version
    • …
    corecore