111 research outputs found

    ๊ณ ์† DRAM ์ธํ„ฐํŽ˜์ด์Šค๋ฅผ ์œ„ํ•œ ์ „์•• ๋ฐ ์˜จ๋„์— ๋‘”๊ฐํ•œ ํด๋ก ํŒจ์Šค์™€ ์œ„์ƒ ์˜ค๋ฅ˜ ๊ต์ •๊ธฐ ์„ค๊ณ„

    Get PDF
    ํ•™์œ„๋…ผ๋ฌธ (๋ฐ•์‚ฌ) -- ์„œ์šธ๋Œ€ํ•™๊ต ๋Œ€ํ•™์› : ๊ณต๊ณผ๋Œ€ํ•™ ์ „๊ธฐยท์ •๋ณด๊ณตํ•™๋ถ€, 2021. 2. ์ •๋•๊ท .To cope with problems caused by the high-speed operation of the dynamic random access memory (DRAM) interface, several approaches are proposed that are focused on the clock path of the DRAM. Two delay-locked loop (DLL) based schemes, a forwarded-clock (FC) receiver (RX) with self-tracking loop and a quadrature error corrector, are proposed. Moreover, an open-loop based scheme is presented for drift compensation in the clock distribution. The open-loop scheme consumes less power consumption and reduces design complexity. The FC RX uses DLLs to compensate for voltage and temperature (VT) drift in unmatched memory interfaces. The self-tracking loop consists of two-stage cascaded DLLs to operate in a DRAM environment. With the write training and the proposed DLL, the timing relationship between the data and the sampling clock is always optimal. The proposed scheme compensates for delay drift without relying on data transitions or re-training. The proposed FC RX is fabricated in 65-nm CMOS process and has an active area containing 4 data lanes of 0.0329 mm2. After the write training is completed at the supply voltage of 1 V, the measured timing margin remains larger than 0.31-unit interval (UI) when the supply voltage drifts in the range of 0.94 V and 1.06 V from the training voltage, 1 V. At the data rate of 6.4 Gb/s, the proposed FC RX achieves an energy efficiency of 0.45 pJ/bit. Contrary to the aforementioned scheme, an open-loop-based voltage drift compensation method is proposed to minimize power consumption and occupied area. The overall clock distribution is composed of a current mode logic (CML) path and a CMOS path. In the proposed scheme, the architecture of the CML-to-CMOS converter (C2C) and the inverter is changed to compensate for supply voltage drift. The bias generator provides bias voltages to the C2C and inverters according to supply voltage for delay adjustment. The proposed clock tree is fabricated in 40 nm CMOS process and the active area is 0.004 mm2. When the supply voltage is modulated by a sinusoidal wave with 1 MHz, 100 mV peak-to-peak swing from the center of 1.1 V, applying the proposed scheme reduces the measured root-mean-square (RMS) jitter from 3.77 psRMS to 1.61 psRMS. At 6 GHz output clock, the power consumption of the proposed scheme is 11.02 mW. A DLL-based quadrature error corrector (QEC) with a wide correction range is proposed for the DRAM whose clocks are distributed over several millimeters. The quadrature error is corrected by adjusting delay lines using information from the phase error detector. The proposed error correction method minimizes increased jitter due to phase error correction by setting at least one of the delay lines in the quadrature clock path to the minimum delay. In addition, the asynchronous calibration on-off scheme reduces power consumption after calibration is complete. The proposed QEC is fabricated in 40 nm CMOS process and has an active area of 0.048 mm2. The proposed QEC exhibits a wide correctable error range of 101.6 ps and the remaining phase errors are less than 2.18ยฐ from 0.8 GHz to 2.3 GHz clock. At 2.3 GHz, the QEC contributes 0.53 psRMS jitter. Also, at 2.3 GHz, the power consumption is reduced from 8.89 mW to 3.39 mW when the calibration is off.๋ณธ ๋…ผ๋ฌธ์—์„œ๋Š” ๋™์  ๋žœ๋ค ์•ก์„ธ์Šค ๋ฉ”๋ชจ๋ฆฌ (DRAM)์˜ ์†๋„๊ฐ€ ์ฆ๊ฐ€ํ•จ์— ๋”ฐ๋ผ ํด๋ก ํŒจ์Šค์—์„œ ๋ฐœ์ƒํ•  ์ˆ˜ ์žˆ๋Š” ๋ฌธ์ œ์— ๋Œ€์ฒ˜ํ•˜๊ธฐ ์œ„ํ•œ ์„ธ ๊ฐ€์ง€ ํšŒ๋กœ๋“ค์„ ์ œ์•ˆํ•˜์˜€๋‹ค. ์ œ์•ˆํ•œ ํšŒ๋กœ๋“ค ์ค‘ ๋‘ ๋ฐฉ์‹๋“ค์€ ์ง€์—ฐ๋™๊ธฐ๋ฃจํ”„ (delay-locked loop) ๋ฐฉ์‹์„ ์‚ฌ์šฉํ•˜์˜€๊ณ  ๋‚˜๋จธ์ง€ ํ•œ ๋ฐฉ์‹์€ ๋ฉด์ ๊ณผ ์ „๋ ฅ ์†Œ๋ชจ๋ฅผ ์ค„์ด๊ธฐ ์œ„ํ•ด ์˜คํ”ˆ ๋ฃจํ”„ ๋ฐฉ์‹์„ ์‚ฌ์šฉํ•˜์˜€๋‹ค. DRAM์˜ ๋น„์ •ํ•ฉ ์ˆ˜์‹ ๊ธฐ ๊ตฌ์กฐ์—์„œ ๋ฐ์ดํ„ฐ ํŒจ์Šค์™€ ํด๋ก ํŒจ์Šค ๊ฐ„์˜ ์ง€์—ฐ ๋ถˆ์ผ์น˜๋กœ ์ธํ•ด ์ „์•• ๋ฐ ์˜จ๋„ ๋ณ€ํ™”์— ๋”ฐ๋ผ ์…‹์—… ํƒ€์ž„ ๋ฐ ํ™€๋“œ ํƒ€์ž„์ด ์ค„์–ด๋“œ๋Š” ๋ฌธ์ œ๋ฅผ ํ•ด๊ฒฐํ•˜๊ธฐ ์œ„ํ•ด ์ง€์—ฐ๋™๊ธฐ๋ฃจํ”„๋ฅผ ์‚ฌ์šฉํ•˜์˜€๋‹ค. ์ œ์•ˆํ•œ ์ง€์—ฐ๋™๊ธฐ๋ฃจํ”„ ํšŒ๋กœ๋Š” DRAM ํ™˜๊ฒฝ์—์„œ ๋™์ž‘ํ•˜๋„๋ก ๋‘ ๊ฐœ์˜ ์ง€์—ฐ๋™๊ธฐ๋ฃจํ”„๋กœ ๋‚˜๋ˆ„์—ˆ๋‹ค. ๋˜ํ•œ ์ดˆ๊ธฐ ์“ฐ๊ธฐ ํ›ˆ๋ จ์„ ํ†ตํ•ด ๋ฐ์ดํ„ฐ์™€ ํด๋ก์„ ํƒ€์ด๋ฐ ๋งˆ์ง„ ๊ด€์ ์—์„œ ์ตœ์ ์˜ ์œ„์น˜์— ๋‘˜ ์ˆ˜ ์žˆ๋‹ค. ๋”ฐ๋ผ์„œ ์ œ์•ˆํ•˜๋Š” ๋ฐฉ์‹์€ ๋ฐ์ดํ„ฐ ์ฒœ์ด ์ •๋ณด๊ฐ€ ํ•„์š”ํ•˜์ง€ ์•Š๋‹ค. 65-nm CMOS ๊ณต์ •์„ ์ด์šฉํ•˜์—ฌ ๋งŒ๋“ค์–ด์ง„ ์นฉ์€ 6.4 Gb/s์—์„œ 0.45 pJ/bit์˜ ์—๋„ˆ์ง€ ํšจ์œจ์„ ๊ฐ€์ง„๋‹ค. ๋˜ํ•œ 1 V์—์„œ ์“ฐ๊ธฐ ํ›ˆ๋ จ ๋ฐ ์ง€์—ฐ๋™๊ธฐ๋ฃจํ”„๋ฅผ ๊ณ ์ •์‹œํ‚ค๊ณ  0.94 V์—์„œ 1.06 V๊นŒ์ง€ ๊ณต๊ธ‰ ์ „์••์ด ๋ฐ”๋€Œ์—ˆ์„ ๋•Œ ํƒ€์ด๋ฐ ๋งˆ์ง„์€ 0.31 UI๋ณด๋‹ค ํฐ ๊ฐ’์„ ์œ ์ง€ํ•˜์˜€๋‹ค. ๋‹ค์Œ์œผ๋กœ ์ œ์•ˆํ•˜๋Š” ํšŒ๋กœ๋Š” ํด๋ก ๋ถ„ํฌ ํŠธ๋ฆฌ์—์„œ ์ „์•• ๋ณ€ํ™”๋กœ ์ธํ•ด ํด๋ก ํŒจ์Šค์˜ ์ง€์—ฐ์ด ๋‹ฌ๋ผ์ง€๋Š” ๊ฒƒ์„ ์•ž์„œ ์ œ์‹œํ•œ ๋ฐฉ์‹๊ณผ ๋‹ฌ๋ฆฌ ์˜คํ”ˆ ๋ฃจํ”„ ๋ฐฉ์‹์œผ๋กœ ๋ณด์ƒํ•˜์˜€๋‹ค. ๊ธฐ์กด ํด๋ก ํŒจ์Šค์˜ ์ธ๋ฒ„ํ„ฐ์™€ CML-to-CMOS ๋ณ€ํ™˜๊ธฐ์˜ ๊ตฌ์กฐ๋ฅผ ๋ณ€๊ฒฝํ•˜์—ฌ ๋ฐ”์ด์–ด์Šค ์ƒ์„ฑ ํšŒ๋กœ์—์„œ ์ƒ์„ฑํ•œ ๊ณต๊ธ‰ ์ „์••์— ๋”ฐ๋ผ ๋ฐ”๋€Œ๋Š” ๋ฐ”์ด์–ด์Šค ์ „์••์„ ๊ฐ€์ง€๊ณ  ์ง€์—ฐ์„ ์กฐ์ ˆํ•  ์ˆ˜ ์žˆ๊ฒŒ ํ•˜์˜€๋‹ค. 40-nm CMOS ๊ณต์ •์„ ์ด์šฉํ•˜์—ฌ ๋งŒ๋“ค์–ด์ง„ ์นฉ์˜ 6 GHz ํด๋ก์—์„œ์˜ ์ „๋ ฅ ์†Œ๋ชจ๋Š” 11.02 mW๋กœ ์ธก์ •๋˜์—ˆ๋‹ค. 1.1 V ์ค‘์‹ฌ์œผ๋กœ 1 MHz, 100 mV ํ”ผํฌ ํˆฌ ํ”ผํฌ๋ฅผ ๊ฐ€์ง€๋Š” ์‚ฌ์ธํŒŒ ์„ฑ๋ถ„์œผ๋กœ ๊ณต๊ธ‰ ์ „์••์„ ๋ณ€์กฐํ•˜์˜€์„ ๋•Œ ์ œ์•ˆํ•œ ๋ฐฉ์‹์—์„œ์˜ ์ง€ํ„ฐ๋Š” ๊ธฐ์กด ๋ฐฉ์‹์˜ 3.77 psRMS์—์„œ 1.61 psRMS๋กœ ์ค„์–ด๋“ค์—ˆ๋‹ค. DRAM์˜ ์†ก์‹ ๊ธฐ ๊ตฌ์กฐ์—์„œ ๋‹ค์ค‘ ์œ„์ƒ ํด๋ก ๊ฐ„์˜ ์œ„์ƒ ์˜ค์ฐจ๋Š” ์†ก์‹ ๋œ ๋ฐ์ดํ„ฐ์˜ ๋ฐ์ดํ„ฐ ์œ ํšจ ์ฐฝ์„ ๊ฐ์†Œ์‹œํ‚จ๋‹ค. ์ด๋ฅผ ํ•ด๊ฒฐํ•˜๊ธฐ ์œ„ํ•ด ์ง€์—ฐ๋™๊ธฐ๋ฃจํ”„๋ฅผ ๋„์ž…ํ•˜๊ฒŒ ๋˜๋ฉด ์ฆ๊ฐ€๋œ ์ง€์—ฐ์œผ๋กœ ์ธํ•ด ์œ„์ƒ์ด ๊ต์ •๋œ ํด๋ก์—์„œ ์ง€ํ„ฐ๊ฐ€ ์ฆ๊ฐ€ํ•œ๋‹ค. ๋ณธ ๋…ผ๋ฌธ์—์„œ๋Š” ์ฆ๊ฐ€๋œ ์ง€ํ„ฐ๋ฅผ ์ตœ์†Œํ™”ํ•˜๊ธฐ ์œ„ํ•ด ์œ„์ƒ ๊ต์ •์œผ๋กœ ์ธํ•ด ์ฆ๊ฐ€๋œ ์ง€์—ฐ์„ ์ตœ์†Œํ™”ํ•˜๋Š” ์œ„์ƒ ๊ต์ • ํšŒ๋กœ๋ฅผ ์ œ์‹œํ•˜์˜€๋‹ค. ๋˜ํ•œ ์œ ํœด ์ƒํƒœ์—์„œ ์ „๋ ฅ ์†Œ๋ชจ๋ฅผ ์ค„์ด๊ธฐ ์œ„ํ•ด ์œ„์ƒ ์˜ค์ฐจ๋ฅผ ๊ต์ •ํ•˜๋Š” ํšŒ๋กœ๋ฅผ ์ž…๋ ฅ ํด๋ก๊ณผ ๋น„๋™๊ธฐ์‹์œผ๋กœ ๋Œ ์ˆ˜ ์žˆ๋Š” ๋ฐฉ๋ฒ• ๋˜ํ•œ ์ œ์•ˆํ•˜์˜€๋‹ค. 40-nm CMOS ๊ณต์ •์„ ์ด์šฉํ•˜์—ฌ ๋งŒ๋“ค์–ด์ง„ ์นฉ์˜ ์œ„์ƒ ๊ต์ • ๋ฒ”์œ„๋Š” 101.6 ps์ด๊ณ  0.8 GHz ๋ถ€ํ„ฐ 2.3 GHz๊นŒ์ง€์˜ ๋™์ž‘ ์ฃผํŒŒ์ˆ˜ ๋ฒ”์œ„์—์„œ ์œ„์ƒ ๊ต์ •๊ธฐ์˜ ์ถœ๋ ฅ ํด๋ก์˜ ์œ„์ƒ ์˜ค์ฐจ๋Š” 2.18ยฐ๋ณด๋‹ค ์ž‘๋‹ค. ์ œ์•ˆํ•˜๋Š” ์œ„์ƒ ๊ต์ • ํšŒ๋กœ๋กœ ์ธํ•ด ์ถ”๊ฐ€๋œ ์ง€ํ„ฐ๋Š” 2.3 GHz์—์„œ 0.53 psRMS์ด๊ณ  ๊ต์ • ํšŒ๋กœ๋ฅผ ๊ป์„ ๋•Œ ์ „๋ ฅ ์†Œ๋ชจ๋Š” ๊ต์ • ํšŒ๋กœ๊ฐ€ ์ผœ์กŒ์„ ๋•Œ์ธ 8.89 mW์—์„œ 3.39 mW๋กœ ์ค„์–ด๋“ค์—ˆ๋‹ค.Chapter 1 Introduction 1 1.1 Motivation 1 1.2 Thesis Organization 4 Chapter 2 Background on DRAM Interface 5 2.1 Overview 5 2.2 Memory Interface 7 Chapter 3 Background on DLL 11 3.1 Overview 11 3.2 Building Blocks 15 3.2.1 Delay Line 15 3.2.2 Phase Detector 17 3.2.3 Charge Pump 19 3.2.4 Loop filter 20 Chapter 4 Forwarded-Clock Receiver with DLL-based Self-tracking Loop for Unmatched Memory Interfaces 21 4.1 Overview 21 4.2 Proposed Separated DLL 25 4.2.1 Operation of the Proposed Separated DLL 27 4.2.2 Operation of the Digital Loop Filter in DLL 31 4.3 Circuit Implementation 33 4.4 Measurement Results 37 4.4.1 Measurement Setup and Sequence 38 4.4.2 VT Drift Measurement and Simulation 40 Chapter 5 Open-loop-based Voltage Drift Compensation in Clock Distribution 46 5.1 Overview 46 5.2 Prior Works 50 5.3 Voltage Drift Compensation Method 52 5.4 Circuit Implementation 57 5.5 Measurement Results 61 Chapter 6 Quadrature Error Corrector with Minimum Total Delay Tracking 68 6.1 Overview 68 6.2 Prior Works 70 6.3 Quadrature Error Correction Method 73 6.4 Circuit Implementation 82 6.5 Measurement Results 88 Chapter 7 Conclusion 96 Bibliography 98 ์ดˆ๋ก 102Docto

    All-digital time-to-digital converter design methodology based on structured data paths

    Get PDF
    Time-to-Digital Converters (TDC) are popular circuits in many applications, where high resolution time measurements are required, for example, in Positron Emission Tomography (PET). Besides its resolution, the TDC's linearity is also an important performance indicator, therefore calibration circuits usually play an important role on TDCs architectures. This paper presents an all-digital TDC implemented using Structured Datapath to reduce the need for calibration circuitry and cells custom design, without compromising the TDC's linearity. The proposed design is fully implementable using a Hardware Description Language (HDL) and enables a complete design flow automation, reducing both development time and system's complexity. The TDC is based on a Delay Locked Loop (DLL) paired with a coarse counter to increase measurement range. The proposed architecture and the design approach have proven to be efficient in developing a high resolution TDC with high linearity. The proposed TDC was implemented in TSMC 0.18 ฮผm CMOS technology process achieving a resolution of 180ps, with Differential Non-Linearity (DNL) and Integral Non-Linearity (INL) under 0.6 LSB.FRCT - Fundo Regional para a Ciรชncia e Tecnologia(PDE/BDE/114562/2016

    Radiation Hardened by Design Methodologies for Soft-Error Mitigated Digital Architectures

    Get PDF
    abstract: Digital architectures for data encryption, processing, clock synthesis, data transfer, etc. are susceptible to radiation induced soft errors due to charge collection in complementary metal oxide semiconductor (CMOS) integrated circuits (ICs). Radiation hardening by design (RHBD) techniques such as double modular redundancy (DMR) and triple modular redundancy (TMR) are used for error detection and correction respectively in such architectures. Multiple node charge collection (MNCC) causes domain crossing errors (DCE) which can render the redundancy ineffectual. This dissertation describes techniques to ensure DCE mitigation with statistical confidence for various designs. Both sequential and combinatorial logic are separated using these custom and computer aided design (CAD) methodologies. Radiation vulnerability and design overhead are studied on VLSI sub-systems including an advanced encryption standard (AES) which is DCE mitigated using module level coarse separation on a 90-nm process with 99.999% DCE mitigation. A radiation hardened microprocessor (HERMES2) is implemented in both 90-nm and 55-nm technologies with an interleaved separation methodology with 99.99% DCE mitigation while achieving 4.9% increased cell density, 28.5 % reduced routing and 5.6% reduced power dissipation over the module fences implementation. A DMR register-file (RF) is implemented in 55 nm process and used in the HERMES2 microprocessor. The RF array custom design and the decoders APR designed are explored with a focus on design cycle time. Quality of results (QOR) is studied from power, performance, area and reliability (PPAR) perspective to ascertain the improvement over other design techniques. A radiation hardened all-digital multiplying pulsed digital delay line (DDL) is designed for double data rate (DDR2/3) applications for data eye centering during high speed off-chip data transfer. The effect of noise, radiation particle strikes and statistical variation on the designed DDL are studied in detail. The design achieves the best in class 22.4 ps peak-to-peak jitter, 100-850 MHz range at 14 pJ/cycle energy consumption. Vulnerability of the non-hardened design is characterized and portions of the redundant DDL are separated in custom and auto-place and route (APR). Thus, a range of designs for mission critical applications are implemented using methodologies proposed in this work and their potential PPAR benefits explored in detail.Dissertation/ThesisDoctoral Dissertation Electrical Engineering 201

    Precise Timing of Digital Signals: Circuits and Applications

    Get PDF
    With the rapid advances in process technologies, the performance of state-of-the-art integrated circuits is improving steadily. The drive for higher performance is accompanied with increased emphasis on meeting timing constraints not only at the design phase but during device operation as well. Fortunately, technology advancements allow for even more precise control of the timing of digital signals, an advantage which can be used to provide solutions that can address some of the emerging timing issues. In this thesis, circuit and architectural techniques for the precise timing of digital signals are explored. These techniques are demonstrated in applications addressing timing issues in modern digital systems. A methodology for slow-speed timing characterization of high-speed pipelined datapaths is proposed. The technique uses a clock-timing circuit to create shifted versions of a slow-speed clock. These clocks control the data flow in the pipeline in the test mode. Test results show that the design provides an average timing resolution of 52.9ps in 0.18ฮผm CMOS technology. Results also demonstrate the ability of the technique to track the performance of high-speed pipelines at a reduced clock frequency and to test the clock-timing circuit itself. In order to achieve higher resolutions than that of an inverter/buffer stage, a differential (vernier) delay line is commonly used. To allow for the design of differential delay lines with programmable delays, a digitally-controlled delay-element is proposed. The delay element is monotonic and achieves a high degree of transfer characteristics' (digital code vs. delay) linearity. Using the proposed delay element, a sub-1ps resolution is demonstrated experimentally in 0.18ฮผm CMOS. The proposed delay element with a fixed delay step of 2ps is used to design a high-precision all-digital phase aligner. High-precision phase alignment has many applications in modern digital systems such as high-speed memory controllers, clock-deskew buffers, and delay and phase-locked loops. The design is based on a differential delay line and a variation tolerant phase detector using redundancy. Experimental results show that the phase aligner's range is from -264ps to +247ps which corresponds to an average delay step of approximately 2.43ps. For various input phase difference values, test results show that the difference is reduced to less than 2ps at the output of the phase aligner. On-chip time measurement is another application that requires precise timing. It has applications in modern automatic test equipment and on-chip characterization of jitter and skew. In order to achieve small conversion time, a flash time-to-digital converter is proposed. Mismatch between the various delay comparators limits the time measurement precision. This is demonstrated through an experiment in which a 6-bit, 2.5ps resolution flash time-to-digital converter provides an effective resolution of only 4-bits. The converter achieves a maximum conversion rate of 1.25GSa/s

    ๋ฉ”๋ชจ๋ฆฌ ์ธํ„ฐํŽ˜์ด์Šค๋ฅผ ์œ„ํ•œ 20Gbps๊ธ‰ ์ง๋ ฌํ™” ์†ก์ˆ˜์‹ ๊ธฐ ์„ค๊ณ„

    Get PDF
    ํ•™์œ„๋…ผ๋ฌธ (๋ฐ•์‚ฌ)-- ์„œ์šธ๋Œ€ํ•™๊ต ๋Œ€ํ•™์› : ์ „๊ธฐยท์ปดํ“จํ„ฐ๊ณตํ•™๋ถ€, 2013. 8. ์ •๋•๊ท .Various types of serial link for current and future memory interface are presented in this thesis. At first, PHY design for commercial GDDR3 memory is proposed. GDDR3 PHY is consists of read path, write path, command path. Write path and command path calibrate skew by using VDL (Variable delay line), while read path calibrates skew by using DLL (Delay locked loop) and VDL. There are four data channels and one command/address channel. Each data channel consists of one clock signal (DQS) and eight data signals (DQ). Data channel operates in 1.2Gbps (1.08Gbps~1.2Gbps), and command/address channel operates 600Mbps (540Mbps~600Mbps). In particular, DLL design for high speed and for SSN (simultaneous switching noise) is concentrated in this thesis. Secondly, serial link design for silicon photonics is proposed. Silicon photonics is the strongest candidate for next generation memory interface. Modulator driver for modulator, TIA (trans-impedance amplifier) and LA (limiting amplifier) for photo diode design are discussed. It operates above 12.5Gbps but it consumes much power 7.2mW/Gbps (transmitter core), 2mW/Gbps (receiver core) because it is connected with optical device which has large parasitic capacitance. Overall receiver which includes CDR (clock and data recovery) is also implemented. Many chips are fabricated in 65nm, 0.13um CMOS process. Finally, electrical serial link for 20Gbps memory link is proposed. Overall architecture is forwarded clocking architecture, and is very simple and intuitive. It does not need additional synchronizer. This open loop delay matched stream line receiver finds optimum sampling point with DCDL (Digitally controlled delay line) controller and expects to consume low power structurally. Only two phase half rate clock is transmitted through clock channel, but half rate time interleaved way sampling is performed by aid of initial value settable PRBS chaser. A CMOS Chip is fabricated by 65nm process and it occupies 2500um x 2500um (transceiver). It is expected that about 2.6mW(2.4mW)/Gbps (transmitter), 4.1mW(2.7mW)/Gbps (receiver). Power consumption improvement is expected in advanced process.ABSTRACT I CONTENTS V LIST OF FIGURES VII LIST OF TABLES XII CHAPTER 1 INTRODUCTION ๏ผ‘ 1.1 MOTIVATION ๏ผ‘ 1.2 THESIS ORGANIZATION ๏ผ‘๏ผ CHAPTER 2 A SERIAL LINK PHY DESIGN FOR GDDR3 MEMORY INTERFACE 11 2.1 INTRODUCTION 11 2.2 GDDR3 MEMORY INTERFACE ARCHITECTURE 12 2.2.1 READ PATH ARCHITECTURE 15 2.2.2 WRITE PATH ARCHITECTURE 17 2.2.3 COMMAND PATH ARCHITECTURE 19 2.3 DLL DESIGN FOR MEMORY INTERFACE 20 2.3.1 SSN(SIMULTANEOUS SWITCHING NOISE) 20 2.3.2 DLL ARCHITECTURE 21 2.3.3 VOLTAGE CONTROLLED DELAY LINE (VCDL) 22 2.3.4 HYSTERESIS COARSE LOCK DETECTOR (HCLD) 23 2.3.5 DYNAMIC PHASE DETECTOR AND CHARGE PUMP 26 2.4 SIMULATION RESULT 29 2.5 CONCLUSION 32 CHAPTER 3 OPTICAL FRONT-END SERIAL LINK DESIGN FOR 20 GBPS MEMORY INTERFACE 35 3.1 SILICON PHOTONICS INTRODUCTION 35 3.2 OPTICAL FRONT-END TRANSMITTER DESIGN 45 3.2.1 MODULATOR DRIVER REQUIREMENTS 46 3.2.2 MODULATOR DRIVER DESIGN - CURRENT MODE DRIVER 47 3.2.3 MODULATOR DRIVER DESIGN - CURRENT MODE DRIVER 50 3.3 OPTICAL FRONT-END RECEIVER DESIGN 55 3.3.1 OPTICAL RECEIVER BACK END REQUIREMENTS 56 3.3.2 OPTICAL RECEIVER BACK END DESIGN โ€“ TIA 57 3.3.3 OPTICAL RECEIVER BACK END DESIGN โ€“ LA, DRIVER 63 3.3.4 OPTICAL RECEIVER BACK END DESIGN โ€“ CDR 66 3.4 MEASUREMENT AND SIMULATION RESULTS 70 3.4.1 MEASUREMENT AND SIMULATION ENVIRONMENTS 70 3.4.2 OPTICAL TX FRONT END MEASUREMENT AND SIMULATION 74 3.4.3 OPTICAL RX FRONT END MEASUREMENT AND SIMULATION 77 3.4.4 OPTICAL RX BACK END SIMULATION 79 3.4.5 OPTICAL-ELECTRICAL OVERALL MEASUREMENTS 80 3.4.6 DIE PHOTO AND LAYOUT 82 3.5 CONCLUSION 86 CHAPTER 4 ELECTRICAL FRONT-END SERIAL LINK DESIGN FOR 20GBPS MEMORY INTERFACE 87 4.1 INTRODUCTION 87 4.2 CONVENTIONAL ELECTRICAL FRONT-END HIGH SPEED SERIAL LINK ARCHITECTURES 90 4.3 DESIGN CONCEPT AND PROPOSED SERIAL LINK ARCHITECTURE โ€“ OPEN LOOP DELAY MATCHED STREAM LINED RECEIVER. 95 4.3.1 PROPOSED OVERALL ARCHITECTURE 95 4.3.2 DESIGN CONCEPT 97 4.3.3 PROPOSED PROTOCOL AND LOCKING PROCESS 100 4.4 OPTIMUM POINT SEARCH ALGORITHM BASED DCDL CONTROLLER DESIGN 102 4.5 DCDL (DIGITALLY CONTROLLED DELAY LINE) DESIGN 112 4.6 DFE (DECISION FEEDBACK EQUALIZER) AND OTHER BLOCKS DESIGN 115 4.7 SIMULATION RESULTS 117 4.8 POWER EXPECTATION AND CHIP LAYOUT 122 4.9 CONCLUSION 124 CHAPTER 5 CONCLUSION 126 BIBLIOGRAPHY 128Docto

    ์ €์ „๋ ฅ, ์ €๋ฉด์  ์œ ์„  ์†ก์ˆ˜์‹ ๊ธฐ ์„ค๊ณ„๋ฅผ ์œ„ํ•œ ํšŒ๋กœ ๊ธฐ์ˆ 

    Get PDF
    ํ•™์œ„๋…ผ๋ฌธ (๋ฐ•์‚ฌ)-- ์„œ์šธ๋Œ€ํ•™๊ต ๋Œ€ํ•™์› : ์ „๊ธฐยท์ปดํ“จํ„ฐ๊ณตํ•™๋ถ€, 2016. 8. ์ •๋•๊ท .In this thesis, novel circuit techniques for low-power and area-efficient wireline transceiver, including a phase-locked loop (PLL) based on a two-stage ring oscillator, a scalable voltage-mode transmitter, and a forwarded-clock (FC) receiver based on a delay-locked-loop (DLL) based per-pin deskew, are proposed. At first, a two-stage ring PLL that provides a four-phase, high-speed clock for a quarter-rate TX in order to minimize power consumption is presented. Several analyses and verification techniques, ranging from the clocking architectures for a high-speed TX to oscillation failures in a two-stage ring oscillator, are addressed in this thesis. A tri-state-inverterโ€“based frequency-divider and an AC-coupled clock-buffer are used for high-speed operations with minimal power and area overheads. The proposed PLL fabricated in the 65-nm CMOS technology occupies an active area of 0.009 mm2 with an integrated-RMS-jitter of 414 fs from 10 kHz to 100 MHz while consuming 7.6 mW from a 1.2-V supply at 10 GHz. The resulting figure-of-merit is -238.8 dB, which surpasses that of the state-of-the-art ring-PLLs by 4 dB. Secondly, a voltage-mode (VM) transmitter which offers a wide operation range of 6 to 32 Gb/s, controllable pre-emphasis equalization and output voltage swing without altering output impedance, and a power supply scalability is presented. A quarter-rate clocking architecture is employed in order to maximize the scalability and energy efficiency across the variety of operating conditions. A P-over-N VM driver is used for CMOS compatibility and wide voltage-swing range required for various I/O standards. Two supply regulators calibrate the output impedance of the VM driver across the wide swing and pre-emphasis range. A single phase-locked loop is used to provide a wide frequency range of 1.5-to-8 GHz. The prototype chip is fabricated in 65-nm CMOS technology and occupies active area of 0.48x0.36 mm2. The proposed transmitter achieves 250-to-600-mV single-ended swing and exhibits the energy efficiency of 2.10-to-2.93 pJ/bit across the data rate of 6-to-32 Gb/s. And last, this thesis describes a power and area-efficient FC receiver and includes an analysis of the jitter tolerance of the FC receiver. In the proposed design, jitter tolerance is maximized according to the analysis by employing a DLL-based de-skewing. A sample-swapping bang-bang phase-detector (SS-BBPD) eliminates the stuck locking caused by the finite delay range of the voltage-controlled delay line (VCDL), and also reduces the required delay range of the VCDL by half. The proposed FC receiver is fabricated in 65-nm CMOS technology and occupies an active area of 0.025 mm2. At a data rate of 12.5 Gb/s, the proposed FC receiver exhibits an energy efficiency of 0.36 pJ/bit, and tolerates 1.4-UIpp sinusoidal jitter of 300 MHz.Chapter 1. Introduction 1 1.1. Motivation 1 1.2. Thesis organization 5 Chapter 2. Phase-Locked Loop Based on Two-Stage Ring Oscillator 7 2.1. Overivew 7 2.2. Background and Analysis of a Two-stage Ring Oscillator 11 2.3. Circuit Implementation of The Proposed PLL 25 2.4. Measurement Results 33 Chapter 3. A Scalable Voltage-Mode Transmitter 37 3.1. Overview 37 3.2. Design Considerations on a Scalable Serial Link Transmitter 40 3.3. Circuit Implementation 46 3.4. Measurement Results 56 Chapter 4. Delay-Locked Loop Based Forwarded-Clock Receiver 62 4.1. Overview 62 4.2. Timing and Data Recovery in a Serial Link 65 4.3. DLL-Based Forwarded-Clock Receiver Characteristics 70 4.4. Circuit Implementation 79 4.5. Measurement Results 89 Chapter 5. Conclusion 94 Appendix 96 Appendix A. Design flow to optimize a high-speed ring oscillator 96 Appendix B. Reflection Issues in N-over-N Voltage-Mode Driver 99 Appendix C. Analysis on output swing and power consumption of the P-over-N voltage-mode driver 107 Appendix D. Loop Dynamics of DLL 112 Bibliography 121 Abstract 128Docto

    High bandwidth interchip communication for regular networks dc by Rajeevan Amirtharajah.

    Get PDF
    Thesis (M. Eng.)--Massachusetts Institute of Technology, Dept. of Electrical Engineering and Computer Science, 1994.Includes bibliographical references (leaves 48-49).M.Eng

    ๋ฉ”๋ชจ๋ฆฌ ์ธํ„ฐํŽ˜์ด์Šค๋ฅผ ์œ„ํ•œ 4 ๋ ˆ๋ฒจ ํŽ„์Šค ์ง„ํญ ๋ณ€์กฐ ์ฟผํ„ฐ ๋ ˆ์ดํŠธ ์ˆ˜์‹ ๊ธฐ ์„ค๊ณ„

    Get PDF
    ํ•™์œ„๋…ผ๋ฌธ(๋ฐ•์‚ฌ) -- ์„œ์šธ๋Œ€ํ•™๊ต๋Œ€ํ•™์› : ๊ณต๊ณผ๋Œ€ํ•™ ์ „๊ธฐยท์ •๋ณด๊ณตํ•™๋ถ€, 2022. 8. ๊น€์ˆ˜ํ™˜.๋ณธ ์—ฐ๊ตฌ์—์„œ๋Š” ๋ฉ”๋ชจ๋ฆฌ ์ธํ„ฐํŽ˜์ด์Šค๋ฅผ ์œ„ํ•œ 4 ๋ ˆ๋ฒจ ํŽ„์Šค ์ง„ํญ ๋ณ€์กฐ (PAM-4) ์ˆ˜์‹ ๊ธฐ์™€ ์ง๊ต ํด๋ก์„ ์ƒ์„ฑํ•˜๋Š” ์ง๊ต ์‹ ํ˜ธ ๋ณด์ •๊ธฐ๋ฅผ ์ œ์•ˆ๋œ๋‹ค. ๋ฐ์ดํ„ฐ ์„ผํ„ฐ์—์„œ ์ฆ๊ฐ€ํ•˜๋Š” IP ํŠธ๋ž˜ํ”ฝ์€ ๊ณ ์† ๋ฐ ์ €์ „๋ ฅ ๋ฉ”๋ชจ๋ฆฌ ์ธํ„ฐํŽ˜์ด์Šค์— ๋Œ€ํ•œ ์ˆ˜์š”๋ฅผ ์ฆ๊ฐ€์‹œ์ผœ์™”๋‹ค. ์ด๋Ÿฌํ•œ ์š”๊ตฌ๋ฅผ ๋งŒ์กฑ์‹œํ‚ค๊ธฐ ์œ„ํ•ด ํด๋Ÿญ ๋ฐ ๋‚˜์ดํ€ด์ŠคํŠธ ์ฃผํŒŒ์ˆ˜๋ฅผ ๋†’์ด์ง€ ์•Š๊ณ ๋„ ๋ฐ์ดํ„ฐ ์ „์†ก๋ฅ ์„ ๋†’์ผ ์ˆ˜ ์žˆ๋Š” PAM-4 ์‹ ํ˜ธ๊ฐ€ ์ฃผ๋ชฉ์„ ๋ฐ›๊ณ  ์žˆ๋‹ค. PAM-4 ์‹ ํ˜ธ๋Š” ์ œ๋กœ ๋น„ ๋ณต๊ท€ ์‹ ํ˜ธ (NRZ) ๋ณด๋‹ค 3๋ฐฐ ๋‚ฎ์€ ์ˆ˜์ง ๋งˆ์ง„์„ ๊ฐ€์ง€๋ฉฐ, ์ด๋Š” ๊ฒฐ์ • ํ”ผ๋“œ๋ฐฑ ์ดํ€„๋ผ์ด์ € ๋‚ด ์Šฌ๋ผ์ด์Šค์˜ ํด๋Ÿญ-ํ ๋”œ๋ ˆ์ด๋ฅผ ์ฆ๊ฐ€์‹œํ‚ค๋ฉฐ, ์ด๋กœ ์ธํ•ด PAM-4 ๊ฒฐ์ • ํ”ผ๋“œ๋ฐฑ ์ดํ€„๋ผ์ด์ €์˜ ์„ฑ๋Šฅ์„ ์ œํ•œํ•˜๋Š” ์š”์ธ์ด๋‹ค. ๋ณธ ์—ฐ๊ตฌ์—์„œ๋Š” ์ธ๋ฒ„ํ„ฐ ๊ธฐ๋ฐ˜์˜ ํ•ฉ์‚ฐ๊ธฐ๋ฅผ ์ด์šฉ, ์„ ํƒ์ ์œผ๋กœ ์‹ ํ˜ธ๋ฅผ ์ฆํญ์‹œํ‚ค๋Š” ๊ฒฐ์ • ํ”ผ๋“œ๋ฐฑ ์ดํ€„๋ผ์ด์ €๋ฅผ ์‚ฌ์šฉํ•จ์œผ๋กœ์จ ์Šฌ๋ผ์ด์„œ์˜ ์ „๋ ฅ ์†Œ๋ชจ๋ฅผ ์ฆ๊ฐ€์‹œํ‚ค์ง€ ์•Š์œผ๋ฉด์„œ ์Šฌ๋ผ์ด์„œ์˜ ํด๋Ÿญ-ํ ๋”œ๋ ˆ์ด๋ฅผ ์ค„์ผ ์ˆ˜ ์žˆ๋‹ค. ๋˜ํ•œ, ์ ์‘ํ˜• ์ง€์—ฐ ์ด๋“ ์ปจํŠธ๋กค๋Ÿฌ๋ฅผ ํฌํ•จํ•˜๋Š” ์ง๊ต ์‹ ํ˜ธ ๋ณด์ •๊ธฐ๋Š” ๋†’์€ ์ •ํ™•๋„์™€ ๋น ๋ฅธ ์Šคํ ๋ณด์ •์œผ๋กœ ์ฟผ๋“œ๋Ÿฌ์ฒ˜ ํด๋Ÿญ ๊ฐ„์˜ ์Šคํ๋ฅผ ๊ต์ •ํ•  ์ˆ˜ ์žˆ๋‹ค. ์„ ํƒ์  ๋ˆˆ ์ฆํญ ๊ฒฐ์ • ํ”ผ๋“œ๋ฐฑ ์ดํ€„๋ผ์ด์ €์™€ ์ ์‘ํ˜• ์ง€์—ฐ ์ด๋“ ์ปจํŠธ๋กค๋Ÿฌ๋ฅผ ํฌํ•จํ•˜๋Š” ์ง๊ต ์‹ ํ˜ธ ๋ณด์ •๊ธฐ์˜ ์„ฑ๋Šฅ์„ ๊ฒ€์ฆํ•˜๊ธฐ ์œ„ํ•ด ํ”„๋กœํ† ํƒ€์ž… ์นฉ์„ ์ œ์ž‘ํ•˜์˜€๋‹ค. ์ œ์ž‘๋œ ์นฉ์€ 65 nm CMOS ๊ณต์ •์œผ๋กœ ์ œ์ž‘๋˜์—ˆ๋‹ค. ํ”„๋กœํ† ํƒ€์ž… ์นฉ์€ 24 Gb/s/pin ์—์„œ 10-12 ์˜ ๋น„ํŠธ ์—๋Ÿฌ์œจ์„ 100 mUI ์˜ ์‹ ํ˜ธ ๋„ˆ๋น„๋กœ ๋‹ฌ์„ฑํ•˜์˜€๋‹ค. ํ”„๋กœํ† ํƒ€์ž… ์นฉ ๋‚ด PAM-4 ์ˆ˜์‹ ๊ธฐ๋Š” 0.73 pJ/b ์˜ ์—๋„ˆ์ง€ ํšจ์œจ์„ ๊ฐ–๋Š”๋‹ค. ๋˜ํ•œ ์ ์‘ํ˜• ์ง€์—ฐ ์ด๋“ ์ปจํŠธ๋กค๋Ÿฌ๋ฅผ ํฌํ•จํ•˜๋Š” ์ง๊ต ์‹ ํ˜ธ ๋ณด์ •๊ธฐ๋Š” 3 GHz ์ฟผ๋“œ๋Ÿฌ์ฒ˜ ํด๋Ÿญ ๊ฐ„ ์ตœ๋Œ€ 21.2 ps ์˜ ์Šคํ๋ฅผ 0.8 ps ๊นŒ์ง€ ์ค„์ผ ์ˆ˜ ์žˆ์œผ๋ฉฐ, ์ด ๋•Œ 76.9 ns ์˜ ๊ต์ • ์‹œ๊ฐ„์„ ๊ฐ–๋Š”๋‹ค. ์ œ์•ˆํ•˜๋Š” ์ง๊ต ์‹ ํ˜ธ ๋ณด์ •๊ธฐ๋Š” 3 GHz ์—์„œ 2.15 mW/GHz ์˜ ์ „๋ ฅ ํšจ์œจ์„ ๊ฐ–๋Š”๋‹ค.A four-level pulse amplitude modulation (PAM-4) receiver, and a quadrature signal corrector (QSC) that generates quadrature clocks for memory interfaces is presented. Increasing IP traffic in data centers has increased the demand for high-speed and low-power memory interfaces. To satisfy this demand, PAM-4 signaling, which can increase data-rate without increasing clock and Nyquist frequency, is received considerable attention. PAM- signaling has vertical which three times lower than non-return-to-zero (NRZ) signaling, which makes the clock-to-Q delay of the slicer in the decision feedback equalizer (DFE) increases. This makes the DFE difficult to satisfy the timing constraint. In this paper, by using a DFE with inverter-based summers, the clock-to-Q delay of the slicer can be reduced without increasing the power consumption of the slicers. Also, the QSC using an adaptive delay gain controller can correct the skew between the quadrature clock with low skew and short correction time. The prototype receiver including the DFE with the inverter-based summer and the QSC using the adaptive delay gain controller was fabricated in 65 nm CMOS process. The prototype chip can achieve a bit error rate (BER) of 10-12 at 24 Gb/s/pin, and at this time, an eye width of 100 mUI is secured. The efficiency of the receiver is 0.73 pJ/b. In addition, the QSC cna reduce the maximum 21.2 ps of skew between 3 GHz quadrature clocks to 0.8 ps and has a correction time of 76.9 ns. The efficiency of the QSC is 2.15 mW/GHz.ABSTRACT 1 CONTENTS 3 LIST OF FIGURES 5 LIST OF TABLE 9 CHAPTER 1 1 INTRODUCTION 1 1.1 MOTIVATION 1 1.2 PAM-4 SIGNALING 7 1.2.1 DESIGN CONSIDERATIONS ON PAM-4 RECEIVER 10 1.2.2 PRIOR WORKS 14 1.3 QUARTER-RATE ARCHITECTURE 18 1.3.1 DESIGN CONSIDERATION ON QUARTER-RATE ARCHITECTURE 20 1.3.2 PRIOR WORKS 25 1.4 SUMMARY 28 1.5 THESIS ORGANIZATION 30 CHAPTER 2 31 CONCEPTS OF DFE WITH INVERTER-BASED SUMMER 31 2.1 CONCEPTUAL ARCHITECTURE OF DFE WITH INVERTER-BASED SUMMER 32 2.2 DESIGN CONSIDERATION OF INVERTER-BASED SUMMER 37 CHAPTER 3 41 CONCEPTS OF QUADRATURE SIGNAL CORRECTOR USING ADAPTIVE DELAY GAIN CONTROLLER 41 3.1 OPERATION OF PROPOSED QUADRATURE SIGNAL CORRECTOR 42 3.2 LOOP FILTER INCLUDING ADAPTIVE DELAY GAIN CONTROLLER 45 CHAPTER 4 48 ARCHITECTURE AND IMPLEMENTATION 48 4.1 OVERALL ARCHITECTURE 49 4.2 ANALOG FRONT END 52 4.3 DECISION FEEDBACK EQUALIZER WITH INVERTER-BASED SUMMER 54 4.4 CLOCK PATH 62 4.5 QUADRATURE SIGNAL CORRECTOR WITH ADAPTIVE DELAY GAIN CONTROLLER 63 CHAPTER 5 70 EXPERIMENTAL RESULTS 70 5.1 EXPERIMENTAL SETUP 70 5.2 EXPERIMENTAL RESULTS 74 5.2.1 MEASUREMENT RESULTS OF PAM-4 RECEIVER WITH DECISION FEEDBACK EQUALIZER USING INVERTER-BASED SUMMER 74 5.2.2 MEASUREMENT RESULTS OF QUADRATURE SIGNAL CORRECTOR USING ADAPTIVE DELAY GAIN CONTROLLER 77 CHAPTER 6 83 CONCLUSION 83 BIBLIOGRAPHY 86๋ฐ•

    K-Delta-1-Sigma Modulators for Wideband Analog-to-Digital Conversion

    Get PDF
    As CMOS technology scales, the transistor speed increases enabling higher speed communications and more complex systems. These benefits come at the cost of decreasing inherent device gain, increased transistor leakage currents, and additional mismatches due to process variations. All of these drawbacks affect the design of high-resolution analog-to-digital converters (ADCs) in nano-CMOS processes. To move towards an ADC topology useful in these small processes a first-order K-Delta-1-Sigma (KD1S) modulator-based ADC was proposed. The KD1S topology employs inherent time-interleaving with a shared integrator and K-quantizing feedback paths and can potentially achieve significantly higher conversion bandwidths when compared to the traditional switched-capacitor delta-sigma ADCs. The shared integrator in the KD1S modulator settles over a half the clock period and the op-amp is designed to operate at the base clock frequency. In this dissertation, the first-order KD1S modulator topology is analyzed for the effects of the non-idealities introduced by the K-path operation of the switched-capacitor integrator. Then, the concept of KD1S modulator is extended to higher-order modulators in order to achieve superior noise-shaping performance. A systematic synthesis method has been developed to design and simulate higher-order KD1S modulators at the system level. In order to demonstrate the developed theory, a prototype second-order KD1S modulator has been designed and fabricated in a 500-nm CMOS technology. The second-order KD1S modulator exhibits wideband noise-shaping with an SNDR of 42.7 dB or 6.81 bits in resolution for Kpath = 8 paths, an effective sampling rate of ฦ’s,new=800 MHz, effective oversampling ratio Kpathโ€ขOSR=64 and a signal bandwidth of 6.25 MHz. The second-order KD1S modulator consumes an average current of 3.0 mA from the 5 V supply and occupies an area of 0.55 mm2
    • โ€ฆ
    corecore