32 research outputs found

    A scalable 2.9mW 1Mb/s eTextiles body area network transceiver with remotely powered sensors and bi-directional data communication

    Get PDF
    Advances in sensor design have made ambulatory health monitoring possible and have created the need for low-power communication systems to replace bulkier traditional links. Micropower sensors should also be powered by a non-local energy source for system miniaturization and long life. Recently proposed communication systems using wireless body area networks [1,2] and body-coupled communication [3] suffer from high path loss around the human body for efficient remote power delivery. In contrast, eTextiles are becoming an increasingly popular technology for efficiently powering and communicating with such sensors [4-6] due to wide coverage around the human body combined with low path loss and comfort of use.MIT Masdar Program (Cooperative Agreement 196F/002/707/102f/70/9374

    Analysis and design of colpitts oscillator for short-range WSN

    Get PDF
    In this paper, analysis and design of colpitts oscillator with ability to transmit data at low output power with application in short-range wireless sensor networks such as MICS is described. Reducing the area required to implement the transmitter, on-chip implementation and appropriate energy efficiency are the advantages of this structure that makes it suitable for the design of short-range transmitter in biomedical applications. The proposed OOK transmitter works at 400 MHz with 10 Mbps data rate. Output power and total power consumption are 25 µW and 670 µW, respectively. Energy efficiency is 67 pJ/bit. The transmitter has been designed and simulated in 0.18 µm CMOS technology

    A -5 dBm 400MHz OOK Transmitter for Wireless Medical Application

    Get PDF
    A 400 MHz high efficiency transmitter forwireless medical application is presented in this paper. Transmitter architecture with high-energy efficiencies isproposed to achieve high data rate with low powerconsumption. In the on-off keying transmitters, the oscillatorand power amplifier are turned off when the transmittersends 0 data. The proposed class-e power amplifier has highefficiency for low level output power. The proposed on-offkeying transmitter consumes 1.52 mw at -5 dBm output by 40Mbps data rate and energy consumption 38 pJ/bit. Theproposed transmitter has been designed in 0.18µm CMOStechnology

    Radio Frequency Energy Harvesting for Low Power Sensors

    Get PDF
    Wireless sensor networks and the internet of things are benefiting from recent advances in power consumption to implement intelligent control entities. Similar advances in battery technology have enabled these systems to become autonomous. Nevertheless, this approach is insufficient for modern applications. An alternative solution to power these sensors is to use the energy available in their environment, such as thermal, mechanical vibration, light or radio frequencies. However, sensors are frequently placed in an environment where power density is low. This study investigates energy harvesting from radio frequencies compared to other sources. After demonstrating the potential for collecting energy over a wide frequency band, a statistical study was carried out to determine the RF power density present in the urban environment and in rural areas. Multi-band RF harvester systems were designed to harvest energy in several frequency bands to show when multiple RF sources are available. The amount of energy harvested can be increased when the system is designed to operate over a wide frequency band. In this study, multiband RF energy harvester to power wireless sensors is produced using Advanced Design Software (ADS). According to the design outcomes the proposed energy harvesting scheme works better on the GSM900 and GSM1800 bands

    PROCESS AWARE ANALOG-CENTRIC SINGLE LEAD ECG ACQUISITION AND CLASSIFICATION CMOS FRONTEND

    Get PDF
    The primary objective of this research work is the development of a low power single-lead ECG analog front-end (AFE) architecture which includes acquisition, digitization, process aware efficient gain and frequency control mechanism and a low complexity classifier for the detecting asystole, extreme bardycardia and tachycardia. Recent research on ECG recording systems focuses on the design of a compact single-lead wearable/portable devices with ultra-low-power consumption and in-built hardware for diagnosis and prognosis. Since, the amplitude of the ECG signal varies from hundreds of µV to a few mV, and has a bandwidth of DC to 250 Hz, conventional front-ends use an instrument amplifier followed by a programmable gain amplifier (PGA) to amplify the input ECG signal appropriately. This work presents an mixed signal ECG fronted with an ultra-low power two-stage capacitive-coupled signal conditioning circuit (or an AFE), providing programmable amplification along with tunable 2nd order high pass and lowpass filter characteristics. In the contemporary state-of-the-art ECG recording systems, the gain of the amplifier is controlled by external digital control pins which are in turn dynamically controlled through a DSP. Therefore, an efficient automatic gain control mechanism with minimal area overhead and consuming power in the order of nano watts only. The AGC turns the subsequent ADC on only after output of the PGA (or input of the ADC) reaches a level for which the ADC achieves maximum signal-to-noise-ratio (SNR), hence saving considerable startup power and avoiding the use of DSP. Further, in any practical filter design, the low pass cut-off frequency is prone to deviate from its nominal value across process and temperature variations. Therefore, post-fabrication calibration is essential, before the signal is fed to an ADC, to minimize this deviation, prevent signal degradation due to aliasing of higher frequencies into the bandwidth for classification of ECG signals, to switch to low resolution processing, hence saving power and enhances battery lifetime. Another short-coming noticed in the literature published so far is that the classification algorithm is implemented in digital domain, which turns out to be a power hungry approach. Moreover, Although analog domain implementations of QRS complexes detection schemes have been reported, they employ an external micro-controller to determine the threshold voltage. In this regard, finally a power-efficient low complexity CMOS fully analog classifier architecture and a heart rate estimator is added to the above scheme. It reduces the overall system power consumption by reducing the computational burden on the DSP. The complete proposed scheme consists of (i) an ultra-low power QRS complex detection circuit using an autonomous dynamic threshold voltage, hence discarding the need of any external microcontroller/DSP and calibration (ii) a power efficient analog classifier for the detection of three critical alarm types viz. asystole, extreme bradycardia and tachycardia. Additionally, a heart rate estimator that provides the number of QRS complexes within a period of one minute for cardiac rhythm (CR) and heart rate variability (HRV) analysis. The complete proposed architecture is implemented in UMC 0.18 µm CMOS technology with 1.8 V supply. The functionality of each of the individual blocks are successfully validated using postextraction process corner simulations and through real ECG test signals taken from the PhysioNet database. The capacitive feedback amplifier, Σ∆ ADC, AGC and the AFT are fabricated, and the measurement results are discussed here. The analog classification scheme is successfully validated using embed NXP LPC1768 board, discrete peak detector prototype and FPGA software interfac

    Low-Power and Programmable Analog Circuitry for Wireless Sensors

    Get PDF
    Embedding networks of secure, wirelessly-connected sensors and actuators will help us to conscientiously manage our local and extended environments. One major challenge for this vision is to create networks of wireless sensor devices that provide maximal knowledge of their environment while using only the energy that is available within that environment. In this work, it is argued that the energy constraints in wireless sensor design are best addressed by incorporating analog signal processors. The low power-consumption of an analog signal processor allows persistent monitoring of multiple sensors while the device\u27s analog-to-digital converter, microcontroller, and transceiver are all in sleep mode. This dissertation describes the development of analog signal processing integrated circuits for wireless sensor networks. Specific technology problems that are addressed include reconfigurable processing architectures for low-power sensing applications, as well as the development of reprogrammable biasing for analog circuits

    Body powered thermoelectric systems

    Get PDF
    Thesis (M. Eng.)--Massachusetts Institute of Technology, Dept. of Electrical Engineering and Computer Science, 2012.This electronic version was submitted by the student author. The certified thesis is available in the Institute Archives and Special Collections.Cataloged from student-submitted PDF version of thesis.Includes bibliographical references (p. 107-111).Great interest exists for and progress has be made in the effective utilization of the human body as a possible power supply in hopes of powering such applications as sensors and continuously monitoring medical devices [1]. This report furthers into the area of thermal energy harvesting, which focuses on using the temperature differential generated between the human body and the ambient environment to generate power. More specifically, a body-powered, thermoelectric-based power supply and system will be introduced and examined, with hopes that this technology will be utilized alongside low-power, medical monitoring applications in order to achieve self-sufficiency. This report also analyzes the performance of existing thermoelectric-based body-powered energy harvesting applications and compares that with the new design introduced in this work. The new designs were able to output upwards of 25[mu]W/cm2 or, equivalently, 280µW for the entire heat sink system. Additionally, this report details the physics associated with thermoelectric modules, addresses the issues with modern thermoelectric heat-sinks, introduces two new types of wearable, conformal heat sinks, quantifies the performance of the body-powered thermoelectric supply, tests a flexible EKG processing board, and analyzes future paths for this project.by Krishna Tej Settaluri.M.Eng
    corecore