30 research outputs found

    Clock multiplication techniques for high-speed I/Os

    Get PDF
    Generation of a low-jitter, high-frequency clock from a low-frequency reference clock using classical analog phase-locked loops (PLLs) requires a large loop filter capacitor and power hungry oscillator. Digital PLLs can help reduce area but their jitter performance is severely degraded by quantization error. In this dissertation different clock multiplication techniques have been explored that can be suitable for high-speed wireline systems. With the emphasis on ring oscillator based architecture using cascaded stages, three possible architectures are explored. First, a scrambling TDC (STDC) is presented to improve deterministic jitter (DJ) performance when used with a low-frequency reference clock. A cascaded architecture with digital multiplying delay locked loop as the first stage and hybrid analog/digital PLL as the second stage is used to achieve low random jitter in a power efficient manner. Fabricated in a 90nm CMOS process, the prototype frequency synthesizer consumes 4.76mW power from a 1.0V supply and generates 160MHz and 2.56 GHz output clocks from a 1.25MHz crystal reference frequency. The long-term absolute jitter of the 60MHz digital MDLL and 2.56 GHz digital PLL outputs are 2.4 psrms and 4.18 psrms, while the peak-to-peak jitter is 22.1 ps and 35.2 ps, respectively. The proposed frequency synthesizer occupies an active die area of 0.16mm2 and achieves power efficiency of 1.86 mW/GHz. Second, a hybrid phase/current-mode phase interpolator (HPC-PI) is presented to improve phase noise performance of ring oscillator-based fractional-N PLLs. The proposed HPC-PI alleviates the bandwidth trade-off between VCO phase noise suppression and ฮ”ฮฃ quantization noise suppression. By combining the phase detection and interpolation functions into an XOR phase detector/interpolator (XOR PD-PI) block, accurate quantization error cancellation is achieved without using calibration. Use of a digital MDLL in front of the fractional-N PLL helps in alleviating the bandwidth limitation due to reference frequency and enables bandwidth extension even further. The extended bandwidth helps in suppressing the ring-VCO phase noise and lowering the in-band noise floor. Fabricated in 65nm CMOS process, the prototype generates fractional frequencies from 4.25 to 4.75 GHz, with an in-band phase noise floor of -104 dBc/Hz and 1.5 psrms integrated jitter. The clock multiplier achieves power efficiency of 2.4mW/GHz and FoM of -225.8 dB. Finally, an efficient clock generation, recovery, and distribution techniques for flexible-rate transceivers are presented. Using a fixed-frequency low-jitter clock provided by an integer-N PLL, fractional frequencies are generated/recovered locally using multi-phase fractional clock multipliers. Fabricated in a 65nm CMOS, the prototype transceiver can be programmed to operate at any rate from 3-to-10 Gb/s. At 10 Gb/s, integrated jitter of the Tx output and recovered clock is 360 fsrms and 758 fsrms, respectively

    ๊ณ ์† ์‹œ๋ฆฌ์–ผ ๋งํฌ๋ฅผ ์œ„ํ•œ ๊ณ ๋ฆฌ ๋ฐœ์ง„๊ธฐ๋ฅผ ๊ธฐ๋ฐ˜์œผ๋กœ ํ•˜๋Š” ์ฃผํŒŒ์ˆ˜ ํ•ฉ์„ฑ๊ธฐ

    Get PDF
    ํ•™์œ„๋…ผ๋ฌธ(๋ฐ•์‚ฌ) -- ์„œ์šธ๋Œ€ํ•™๊ต๋Œ€ํ•™์› : ๊ณต๊ณผ๋Œ€ํ•™ ์ „๊ธฐยท์ •๋ณด๊ณตํ•™๋ถ€, 2022. 8. ์ •๋•๊ท .In this dissertation, major concerns in the clocking of modern serial links are discussed. As sub-rate, multi-standard architectures are becoming predominant, the conventional clocking methodology seems to necessitate innovation in terms of low-cost implementation. Frequency synthesis with active, inductor-less oscillators replacing LC counterparts are reviewed, and solutions for two major drawbacks are proposed. Each solution is verified by prototype chip design, giving a possibility that the inductor-less oscillator may become a proper candidate for future high-speed serial links. To mitigate the high flicker noise of a high-frequency ring oscillator (RO), a reference multiplication technique that effectively extends the bandwidth of the following all-digital phase-locked loop (ADPLL) is proposed. The technique avoids any jitter accumulation, generating a clean mid-frequency clock, overall achieving high jitter performance in conjunction with the ADPLL. Timing constraint for the proper reference multiplication is first analyzed to determine the calibration points that may correct the existent phase errors. The weight for each calibration point is updated by the proposed a priori probability-based least-mean-square (LMS) algorithm. To minimize the time required for the calibration, each gain for the weight update is adaptively varied by deducing a posteriori which error source dominates the others. The prototype chip is fabricated in a 40-nm CMOS technology, and its measurement results verify the low-jitter, high-frequency clock generation with fast calibration settling. The presented work achieves an rms jitter of 177/223 fs at 8/16-GHz output, consuming 12.1/17-mW power. As the second embodiment, an RO-based ADPLL with an analog technique that addresses the high supply sensitivity of the RO is presented. Unlike prior arts, the circuit for the proposed technique does not extort the RO voltage headroom, allowing high-frequency oscillation. Further, the performance given from the technique is robust over process, voltage, and temperature (PVT) variations, avoiding the use of additional calibration hardware. Lastly, a comprehensive analysis of phase noise contribution is conducted for the overall ADPLL, followed by circuit optimizations, to retain the low-jitter output. Implemented in a 40-nm CMOS technology, the frequency synthesizer achieves an rms jitter of 289 fs at 8 GHz output without any injected supply noise. Under a 20-mVrms white supply noise, the ADPLL suppresses supply-noise-induced jitter by -23.8 dB.๋ณธ ๋…ผ๋ฌธ์€ ํ˜„๋Œ€ ์‹œ๋ฆฌ์–ผ ๋งํฌ์˜ ํด๋ฝํ‚น์— ๊ด€์—ฌ๋˜๋Š” ์ฃผ์š”ํ•œ ๋ฌธ์ œ๋“ค์— ๋Œ€ํ•˜์—ฌ ๊ธฐ์ˆ ํ•œ๋‹ค. ์ค€์†๋„, ๋‹ค์ค‘ ํ‘œ์ค€ ๊ตฌ์กฐ๋“ค์ด ์ฑ„ํƒ๋˜๊ณ  ์žˆ๋Š” ์ถ”์„ธ์— ๋”ฐ๋ผ, ๊ธฐ์กด์˜ ํด๋ผํ‚น ๋ฐฉ๋ฒ•์€ ๋‚ฎ์€ ๋น„์šฉ์˜ ๊ตฌํ˜„์˜ ๊ด€์ ์—์„œ ์ƒˆ๋กœ์šด ํ˜์‹ ์„ ํ•„์š”๋กœ ํ•œ๋‹ค. LC ๊ณต์ง„๊ธฐ๋ฅผ ๋Œ€์‹ ํ•˜์—ฌ ๋Šฅ๋™ ์†Œ์ž ๋ฐœ์ง„๊ธฐ๋ฅผ ์‚ฌ์šฉํ•œ ์ฃผํŒŒ์ˆ˜ ํ•ฉ์„ฑ์— ๋Œ€ํ•˜์—ฌ ์•Œ์•„๋ณด๊ณ , ์ด์— ๋ฐœ์ƒํ•˜๋Š” ๋‘๊ฐ€์ง€ ์ฃผ์š” ๋ฌธ์ œ์ ๊ณผ ๊ฐ๊ฐ์— ๋Œ€ํ•œ ํ•ด๊ฒฐ ๋ฐฉ์•ˆ์„ ํƒ์ƒ‰ํ•œ๋‹ค. ๊ฐ ์ œ์•ˆ ๋ฐฉ๋ฒ•์„ ํ”„๋กœํ† ํƒ€์ž… ์นฉ์„ ํ†ตํ•ด ๊ทธ ํšจ์šฉ์„ฑ์„ ๊ฒ€์ฆํ•˜๊ณ , ์ด์–ด์„œ ๋Šฅ๋™ ์†Œ์ž ๋ฐœ์ง„๊ธฐ๊ฐ€ ๋ฏธ๋ž˜์˜ ๊ณ ์† ์‹œ๋ฆฌ์–ผ ๋งํฌ์˜ ํด๋ฝํ‚น์— ์‚ฌ์šฉ๋  ๊ฐ€๋Šฅ์„ฑ์— ๋Œ€ํ•ด ๊ฒ€ํ† ํ•œ๋‹ค. ์ฒซ๋ฒˆ์งธ ์‹œ์—ฐ์œผ๋กœ์จ, ๊ณ ์ฃผํŒŒ ๊ณ ๋ฆฌ ๋ฐœ์ง„๊ธฐ์˜ ๋†’์€ ํ”Œ๋ฆฌ์ปค ์žก์Œ์„ ์™„ํ™”์‹œํ‚ค๊ธฐ ์œ„ํ•ด ๊ธฐ์ค€ ์‹ ํ˜ธ๋ฅผ ๋ฐฐ์ˆ˜ํ™”ํ•˜์—ฌ ๋’ท๋‹จ์˜ ์œ„์ƒ ๊ณ ์ • ๋ฃจํ”„์˜ ๋Œ€์—ญํญ์„ ํšจ๊ณผ์ ์œผ๋กœ ๊ทน๋Œ€ํ™” ์‹œํ‚ค๋Š” ํšŒ๋กœ ๊ธฐ์ˆ ์„ ์ œ์•ˆํ•œ๋‹ค. ๋ณธ ๊ธฐ์ˆ ์€ ์ง€ํ„ฐ๋ฅผ ๋ˆ„์  ์‹œํ‚ค์ง€ ์•Š์œผ๋ฉฐ ๋”ฐ๋ผ์„œ ๊นจ๋—ํ•œ ์ค‘๊ฐ„ ์ฃผํŒŒ์ˆ˜ ํด๋ฝ์„ ์ƒ์„ฑ์‹œ์ผœ ์œ„์ƒ ๊ณ ์ • ๋ฃจํ”„์™€ ํ•จ๊ป˜ ๋†’์€ ์„ฑ๋Šฅ์˜ ๊ณ ์ฃผํŒŒ ํด๋ฝ์„ ํ•ฉ์„ฑํ•œ๋‹ค. ๊ธฐ์ค€ ์‹ ํ˜ธ๋ฅผ ์„ฑ๊ณต์ ์œผ๋กœ ๋ฐฐ์ˆ˜ํ™”ํ•˜๊ธฐ ์œ„ํ•œ ํƒ€์ด๋ฐ ์กฐ๊ฑด๋“ค์„ ๋จผ์ € ๋ถ„์„ํ•˜์—ฌ ํƒ€์ด๋ฐ ์˜ค๋ฅ˜๋ฅผ ์ œ๊ฑฐํ•˜๊ธฐ ์œ„ํ•œ ๋ฐฉ๋ฒ•๋ก ์„ ํŒŒ์•…ํ•œ๋‹ค. ๊ฐ ๊ต์ • ์ค‘๋Ÿ‰์€ ์—ฐ์—ญ์  ํ™•๋ฅ ์„ ๊ธฐ๋ฐ˜์œผ๋กœํ•œ LMS ์•Œ๊ณ ๋ฆฌ์ฆ˜์„ ํ†ตํ•ด ๊ฐฑ์‹ ๋˜๋„๋ก ์„ค๊ณ„๋œ๋‹ค. ๊ต์ •์— ํ•„์š”ํ•œ ์‹œ๊ฐ„์„ ์ตœ์†Œํ™” ํ•˜๊ธฐ ์œ„ํ•˜์—ฌ, ๊ฐ ๊ต์ • ์ด๋“์€ ํƒ€์ด๋ฐ ์˜ค๋ฅ˜ ๊ทผ์›๋“ค์˜ ํฌ๊ธฐ๋ฅผ ๊ท€๋‚ฉ์ ์œผ๋กœ ์ถ”๋ก ํ•œ ๊ฐ’์„ ๋ฐ”ํƒ•์œผ๋กœ ์ง€์†์ ์œผ๋กœ ์ œ์–ด๋œ๋‹ค. 40-nm CMOS ๊ณต์ •์œผ๋กœ ๊ตฌํ˜„๋œ ํ”„๋กœํ† ํƒ€์ž… ์นฉ์˜ ์ธก์ •์„ ํ†ตํ•ด ์ €์†Œ์Œ, ๊ณ ์ฃผํŒŒ ํด๋ฝ์„ ๋น ๋ฅธ ๊ต์ • ์‹œ๊ฐ„์•ˆ์— ํ•ฉ์„ฑํ•ด ๋ƒ„์„ ํ™•์ธํ•˜์˜€๋‹ค. ์ด๋Š” 177/223 fs์˜ rms ์ง€ํ„ฐ๋ฅผ ๊ฐ€์ง€๋Š” 8/16 GHz์˜ ํด๋ฝ์„ ์ถœ๋ ฅํ•œ๋‹ค. ๋‘๋ฒˆ์งธ ์‹œ์—ฐ์œผ๋กœ์จ, ๊ณ ๋ฆฌ ๋ฐœ์ง„๊ธฐ์˜ ๋†’์€ ์ „์› ๋…ธ์ด์ฆˆ ์˜์กด์„ฑ์„ ์™„ํ™”์‹œํ‚ค๋Š” ๊ธฐ์ˆ ์ด ํฌํ•จ๋œ ์ฃผํŒŒ์ˆ˜ ํ•ฉ์„ฑ๊ธฐ๊ฐ€ ์„ค๊ณ„๋˜์—ˆ๋‹ค. ์ด๋Š” ๊ณ ๋ฆฌ ๋ฐœ์ง„๊ธฐ์˜ ์ „์•• ํ—ค๋“œ๋ฃธ์„ ๋ณด์กดํ•จ์œผ๋กœ์„œ ๊ณ ์ฃผํŒŒ ๋ฐœ์ง„์„ ๊ฐ€๋Šฅํ•˜๊ฒŒ ํ•œ๋‹ค. ๋‚˜์•„๊ฐ€, ์ „์› ๋…ธ์ด์ฆˆ ๊ฐ์†Œ ์„ฑ๋Šฅ์€ ๊ณต์ •, ์ „์••, ์˜จ๋„ ๋ณ€๋™์— ๋Œ€ํ•˜์—ฌ ๋ฏผ๊ฐํ•˜์ง€ ์•Š์œผ๋ฉฐ, ๋”ฐ๋ผ์„œ ์ถ”๊ฐ€์ ์ธ ๊ต์ • ํšŒ๋กœ๋ฅผ ํ•„์š”๋กœ ํ•˜์ง€ ์•Š๋Š”๋‹ค. ๋งˆ์ง€๋ง‰์œผ๋กœ, ์œ„์ƒ ๋…ธ์ด์ฆˆ์— ๋Œ€ํ•œ ํฌ๊ด„์  ๋ถ„์„๊ณผ ํšŒ๋กœ ์ตœ์ ํ™”๋ฅผ ํ†ตํ•˜์—ฌ ์ฃผํŒŒ์ˆ˜ ํ•ฉ์„ฑ๊ธฐ์˜ ์ €์žก์Œ ์ถœ๋ ฅ์„ ๋ฐฉํ•ดํ•˜์ง€ ์•Š๋Š” ๋ฐฉ๋ฒ•์„ ๊ณ ์•ˆํ•˜์˜€๋‹ค. ํ•ด๋‹น ํ”„๋กœํ† ํƒ€์ž… ์นฉ์€ 40-nm CMOS ๊ณต์ •์œผ๋กœ ๊ตฌํ˜„๋˜์—ˆ์œผ๋ฉฐ, ์ „์› ๋…ธ์ด์ฆˆ๊ฐ€ ์ธ๊ฐ€๋˜์ง€ ์•Š์€ ์ƒํƒœ์—์„œ 289 fs์˜ rms ์ง€ํ„ฐ๋ฅผ ๊ฐ€์ง€๋Š” 8 GHz์˜ ํด๋ฝ์„ ์ถœ๋ ฅํ•œ๋‹ค. ๋˜ํ•œ, 20 mVrms์˜ ์ „์› ๋…ธ์ด์ฆˆ๊ฐ€ ์ธ๊ฐ€๋˜์—ˆ์„ ๋•Œ์— ์œ ๋„๋˜๋Š” ์ง€ํ„ฐ์˜ ์–‘์„ -23.8 dB ๋งŒํผ ์ค„์ด๋Š” ๊ฒƒ์„ ํ™•์ธํ•˜์˜€๋‹ค.1 Introduction 1 1.1 Motivation 3 1.1.1 Clocking in High-Speed Serial Links 4 1.1.2 Multi-Phase, High-Frequency Clock Conversion 8 1.2 Dissertation Objectives 10 2 RO-Based High-Frequency Synthesis 12 2.1 Phase-Locked Loop Fundamentals 12 2.2 Toward All-Digital Regime 15 2.3 RO Design Challenges 21 2.3.1 Oscillator Phase Noise 21 2.3.2 Challenge 1: High Flicker Noise 23 2.3.3 Challenge 2: High Supply Noise Sensitivity 26 3 Filtering RO Noise 28 3.1 Introduction 28 3.2 Proposed Reference Octupler 34 3.2.1 Delay Constraint 34 3.2.2 Phase Error Calibration 38 3.2.3 Circuit Implementation 51 3.3 IL-ADPLL Implementation 55 3.4 Measurement Results 59 3.5 Summary 63 4 RO Supply Noise Compensation 69 4.1 Introduction 69 4.2 Proposed Analog Closed Loop for Supply Noise Compensation 72 4.2.1 Circuit Implementation 73 4.2.2 Frequency-Domain Analysis 76 4.2.3 Circuit Optimization 81 4.3 ADPLL Implementation 87 4.4 Measurement Results 90 4.5 Summary 98 5 Conclusions 99 A Notes on the 8REF 102 B Notes on the ACSC 105๋ฐ•

    Digital enhancement techniques for fractional-N frequency synthesizers

    Get PDF
    Meeting the demand for unprecedented connectivity in the era of internet-of-things (IoT) requires extremely energy efficient operation of IoT nodes to extend battery life. Managing the data traffic generated by trillions of such nodes also puts severe energy constraints on the data centers. Clock generators that are essential elements in these systems consume significant power and therefore must be optimized for low power and high performance. The focus of this thesis is on improving the energy efficiency of frequency synthesizers and clocking modules by exploring design techniques at both the architectural and circuit levels. In the first part of this work, a digital fractional-N phase locked loop (FNPLL) that employs a high resolution time-to-digital converter (TDC) and a truly ฮ”ฮฃ fractional divider to achieve low in-band noise with a wide bandwidth is presented. The fractional divider employs a digital-to-time converter (DTC) to cancel out ฮ”ฮฃ quantization noise in time domain, thus alleviating TDC dynamic range requirements. The proposed digital architecture adopts a narrow range low-power time-amplifier based TDC (TA-TDC) to achieve sub 1ps resolution. Fabricated in 65nm CMOS process, the prototype PLL achieves better than -106dBc/Hz in-band noise and 3MHz PLL bandwidth at 4.5GHz output frequency using 50MHz reference. The PLL achieves excellent jitter performance of 490fsrms, while consumes only 3.7mW. This translates to the best reported jitter-power figure-of-merit (FoM) of -240.5dB among previously reported FNPLLs. Phase noise performance of ring oscillator based digital FNPLLs is severely compromised by conflicting bandwidth requirements to simultaneously suppress oscillator phase and quantization noise introduced by the TDC, ฮ”ฮฃ fractional divider, and digital-to-analog converter (DAC). As a consequence, their FoM that quantifies the power-jitter tradeoff is at least 25dB worse than their LC-oscillator based FNPLL counterparts. In the second part of this thesis, we seek to close this performance gap by extending PLL bandwidth using quantization noise cancellation techniques and by employing a dual-path digital loop filter to suppress the detrimental impact of DAC quantization noise. A prototype was implemented in a 65nm CMOS process operating over a wide frequency range of 2.0GHz-5.5GHz using a modified extended range multi-modulus divider with seamless switching. The proposed digital FNPLL achieves 1.9psrms integrated jitter while consuming only 4mW at 5GHz output. The measured in-band phase noise is better than -96 dBc/Hz at 1MHz offset. The proposed FNPLL achieves wide bandwidth up to 6MHz using a 50 MHz reference and its FoM is -228.5dB, which is at about 20dB better than previously reported ring-based digital FNPLLs. In the third part, we propose a new multi-output clock generator architecture using open loop fractional dividers for system-on-chip (SoC) platforms. Modern multi-core processors use per core clocking, where each core runs at its own speed. The core frequency can be changed dynamically to optimize for performance or power dissipation using a dynamic frequency scaling (DFS) technique. Fast frequency switching is highly desirable as long as it does not interrupt code execution; therefore it requires smooth frequency transitions with no undershoots. The second main requirement in processor clocking is the capability of spread spectrum frequency modulation. By spreading the clock energy across a wide bandwidth, the electromagnetic interference (EMI) is dramatically reduced. A conventional PLL clock generation approach suffers from a slow frequency settling and limited spread spectrum modulation capabilities. The proposed open loop fractional divider architecture overcomes the bandwidth limitation in fractional-N PLLs. The fractional divider switches the output frequency instantaneously and provides an excellent spread spectrum performance, where precise and programmable modulation depth and frequency can be applied to satisfy different EMI requirements. The fractional divider has unlimited modulation bandwidth resulting in spread spectrum modulation with no filtering, unlike fractional-N PLL; consequently it achieves higher EMI reduction. A prototype fractional divider was implemented in a 65nm CMOS process, where the measured peak-to-peak jitter is less than 27ps over a wide frequency range from 20MHz to 1GHz. The total power consumption is about 3.2mW for 1GHz output frequency. The all-digital implementation of the divider occupies the smallest area of 0.017mm2 compared to state-of-the-art designs. As the data rate of serial links goes higher, the jitter requirements of the clock generator become more stringent. Improving the jitter performance of conventional PLLs to less than (200fsrms) always comes with a large power penalty (tens of mWs). This is due to the PLL coupled noise bandwidth trade-off, which imposes stringent noise requirements on the oscillator and/or loop components. Alternatively, an injection-locked clock multiplier (ILCM) provides many advantages in terms of phase noise, power, and area compared to classical PLLs, but they suffer from a narrow lock-in range and a high sensitivity to PVT variations especially at a large multiplication factor (N). In the fourth part of this thesis, a low-jitter, low-power LC-based ILCM with a digital frequency-tracking loop (FTL) is presented. The proposed FTL relies on a new pulse gating technique to continuously tune the oscillator's free-running frequency. The FTL ensures robust operation across PVT variations and resolves the race condition existing in injection locked PLLs by decoupling frequency tuning from the injection path. As a result, the phase locking condition is only determined by the injection path. This work also introduces an accurate theoretical large-signal analysis for phase domain response (PDR) of injection locked oscillators (ILOs). The proposed PDR analysis captures the asymmetric nature of ILO's lock-in range, and the impact of frequency error on injection strength and phase noise performance. The proposed architecture and analysis are demonstrated by a prototype fabricated in 65 nm CMOS process with active area of 0.25mm2. The prototype ILCM multiplies the reference frequency by 64 to generate an output clock in the range of 6.75GHz-8.25GHz. A superior jitter performance of 190fsrms is achieved, while consuming only 2.25mW power. This translates to a best FoM of -251dB. Unlike conventional PLLs, ILCMs have been fundamentally limited to only integer-N operation and cannot synthesize fractional-N frequencies. In the last part of this thesis, we extend the merits of ILCMs to fractional-N and overcome this fundamental limitation. We employ DTC-based QNC techniques in order to align injected pulses to the oscillator's zero crossings, which enables it to pull the oscillator toward phase lock, thus realizing a fractional-N ILCM. Fabricated in 65nm CMOS process, a prototype 20-bit fractional-N ILCM with an output range of 6.75GHz-8.25GHz consumes only 3.25mW. It achieves excellent jitter performance of 110fsrms and 175fsrms in integer- and fractional-N modes respectively, which translates to the best-reported FoM in both integer- (-255dB) and fractional-N (-252dB) modes. The proposed fractional-N ILCM also features the first-reported rapid on/off capability, where the transient absolute jitter performance at wake-up is bounded below 4ps after less than 4ns. This demonstrates almost instantaneous phase settling. This unique capability enables tremendous energy saving by turning on the clock multiplier only when needed. This energy proportional operation leverages idle times to save power at the system-level of wireline and wireless transceivers

    Design of High-Speed SerDes Transceiver for Chip-to-Chip Communications in CMOS Process

    Get PDF
    With the continuous increase of on-chip computation capacities and exponential growth of data-intensive applications, the high-speed data transmission through serial links has become the backbone for modern communication systems. To satisfy the massive data-exchanging requirement, the data rate of such serial links has been updated from several Gb/s to tens of Gb/s. Currently, the commercial standards such as Ethernet 400GbE, InfiniBand high data rate (HDR), and common electrical interface (CEI)-56G has been developing towards 40+ Gb/s. As the core component within these links, the transceiver chipset plays a fundamental role in balancing the operation speed, power consumption, area occupation, and operation range. Meanwhile, the CMOS process has become the dominant technology in modern transceiver chip fabrications due to its large-scale digital integration capability and aggressive pricing advantage. This research aims to explore advanced techniques that are capable of exploiting the maximum operation speed of the CMOS process, and hence provides potential solutions for 40+ Gb/s CMOS transceiver designs. The major contributions are summarized as follows. A low jitter ring-oscillator-based injection-locked clock multiplier (RILCM) with a hybrid frequency tracking loop that consists of a traditional phase-locked loop (PLL), a timing-adjusted loop, and a loop selection state-machine is implemented in 65-nm C-MOS process. In the ring voltage-controlled oscillator, a full-swing pseudo-differential delay cell is proposed to lower the device noise to phase noise conversion. To obtain high operation speed and high detection accuracy, a compact timing-adjusted phase detector tightly combined with a well-matched charge pump is designed. Meanwhile, a lock-loss detection and lock recovery is devised to endow the RILCM with a similar lock-acquisition ability as conventional PLL, thus excluding the initial frequency set- I up aid and preventing the potential lock-loss risk. The experimental results show that the figure-of-merit of the designed RILCM reaches -247.3 dB, which is better than previous RILCMs and even comparable to the large-area LC-ILCMs. The transmitter (TX) and receiver (RX) chips are separately designed and fab- ricated in 65-nm CMOS process. The transmitter chip employs a quarter-rate multi-multiplexer (MUX)-based 4-tap feed-forward equalizer (FFE) to pre-distort the output. To increase the maximum operating speed, a bandwidth-enhanced 4:1 MUX with the capability of eliminating charge-sharing effect is proposed. To produce the quarter-rate parallel data streams with appropriate delays, a compact latch array associated with an interleaved-retiming technique is designed. The receiver chip employs a two-stage continuous-time linear equalizer (CTLE) as the analog front-end and integrates an improved clock data recovery to extract the sampling clocks and retime the incoming data. To automatically balance the jitter tracking and jitter suppression, passive low-pass filters with adaptively-adjusted bandwidth are introduced into the data-sampling path. To optimize the linearity of the phase interpolation, a time-averaging-based compensating phase interpolator is proposed. For equalization, a combined TX-FFE and RX-CTLE is applied to compensate for the channel loss, where a low-cost edge-data correlation-based sign zero-forcing adaptation algorithm is proposed to automatically adjust the TX-FFEโ€™s tap weights. Measurement results show that the fabricated transmitter/receiver chipset can deliver 40 Gb/s random data at a bit error rate of 16 dB loss at the half-baud frequency, while consuming a total power of 370 mW

    Analog Baseband Filters and Mixed Signal Circuits for Broadband Receiver Systems

    Get PDF
    Data transfer rates of communication systems continue to rise fueled by aggressive demand for voice, video and Internet data. Device scaling enabled by modern lithography has paved way for System-on-Chip solutions integrating compute intensive digital signal processing. This trend coupled with demand for low power, battery-operated consumer devices offers extensive research opportunities in analog and mixed-signal designs that enable modern communication systems. The first part of the research deals with broadband wireless receivers. With an objective to gain insight, we quantify the impact of undesired out-band blockers on analog baseband in a broadband radio. We present a systematic evaluation of the dynamic range requirements at the baseband and A/D conversion boundary. A prototype UHF receiver designed using RFCMOS 0.18[mu]m technology to support this research integrates a hybrid continuous- and discrete-time analog baseband along with the RF front-end. The chip consumes 120mW from a 1.8V/2.5V dual supply and achieves a noise figure of 7.9dB, an IIP3 of -8dBm (+2dbm) at maximum gain (at 9dB RF attenuation). High linearity active RC filters are indispensable in wireless radios. A novel feed-forward OTA applicable to active RC filters in analog baseband is presented. Simulation results from the chip prototype designed in RFCMOS 0.18[mu]m technology show an improvement in the out-band linearity performance that translates to increased dynamic range in the presence of strong adjacent blockers. The second part of the research presents an adaptive clock-recovery system suitable for high-speed wireline transceivers. The main objective is to improve the jitter-tracking and jitter-filtering trade-off in serial link clock-recovery applications. A digital state-machine that enables the proposed mixed-signal adaptation solution to achieve this objective is presented. The advantages of the proposed mixed-signal solution operating at 10Gb/s are supported by experimental results from the prototype in RFCMOS 0.18[mu]m technology

    Time-Mode Analog Circuit Design for Nanometric Technologies

    Get PDF
    Rapid scaling in technology has introduced new challenges in the realm of traditional analog design. Scaling of supply voltage directly impacts the available voltage-dynamic-range. On the other hand, nanometric technologies with fT in the hundreds of GHz range open opportunities for time-resolution-based signal processing. With reduced available voltage-dynamic-range and improved timing resolution, it is more convenient to devise analog circuits whose performance depends on edge-timing precision rather than voltage levels. Thus, instead of representing the data/information in the voltage-mode, as a difference between two node voltages, it should be represented in time-mode as a time-difference between two rising and/or falling edges. This dissertation addresses the feasibility of employing time-mode analog circuit design in different applications. Specifically: 1) Time-mode-based quanitzer and feedback DAC of SigmaDelta ADC. 2) Time-mode-based low-THD 10MHz oscillator, 3) A Spur-Frequency Boosting PLL with -74dBc Reference-Spur Rejection in 90nm Digital CMOS. In the first project, a new architectural solution is proposed to replace the DAC and the quantizer by a Time-to-Digital converter. The architecture has been fabricated in 65nm and shows that this technology node is capable of achieving a time-matching of 800fs which has never been reported. In addition, a competitive figure-of-merit is achieved. In the low-THD oscillator, I proposed a new architectural solution for synthesizing a highly-linear sinusoidal signal using a novel harmonic rejection approach. The chip is fabricated in 130nm technology and shows an outstanding performance compared to the state of the art. The designed consumes 80% less power; consumes less area; provides much higher amplitude while being composed of purely digital circuits and passive elements. Last but not least, the spur-frequency boosting PLL employs a novel technique that eliminates the reference spurs. Instead of adding additional filtering at the reference frequency, the spur frequency is boosted to higher frequency which is, naturally, has higher filtering effects. The prototype is fabricated in 90nm digital CMOS and proved to provide the lowest normalized reference spurs ever reported

    Design of Analog-to-Digital Converters with Embedded Mixing for Ultra-Low-Power Radio Receivers

    Get PDF
    In the field of radio receivers, down-conversion methods usually rely on one (or more) explicit mixing stage(s) before the analog-to-digital converter (ADC). These stages not only contribute to the overall power consumption but also have an impact on area and can compromise the receiverโ€™s performance in terms of noise and linearity. On the other hand, most ADCs require some sort of reference signal in order to properly digitize an analog input signal. The implementation of this reference signal usually relies on bandgap circuits and reference buffers to generate a constant, stable, dc signal. Disregarding this conventional approach, the work developed in this thesis aims to explore the viability behind the usage of a variable reference signal. Moreover, it demonstrates that not only can an input signal be properly digitized, but also shifted up and down in frequency, effectively embedding the mixing operation in an ADC. As a result, ADCs in receiver chains can perform double-duty as both a quantizer and a mixing stage. The lesser known charge-sharing (CS) topology, within the successive approximation register (SAR) ADCs, is used for a practical implementation, due to its feature of โ€œpre-chargingโ€ the reference signal prior to the conversion. Simulation results from an 8-bit CS-SAR ADC designed in a 0.13 ฮผm CMOS technology validate the proposed technique
    corecore