192,006 research outputs found

    Design Space Exploration Of Emerging Technologies For Energy Efficiency

    Get PDF
    As the demand for denser and faster electronics is growing, semiconductor industry has responded by aggressively scaling transistor sizes to several nanometers. Nano-scale devices provide higher density circuits while imposing crucial power, thermal and reliability problems to the system. As wire width continue to shrink, copper interconnects in high-performance systems will suffer from significant increase in resistivity due to surface roughness and grain boundary scattering resulting in electromigration issues. Furthermore, as technology scaling following Moore’s law is reaching its limits, 3D integration is a novel, promising and fast-emerging technology. 3D integration provides several advantages over 2D ICs by enabling, higher functionality, small form factor and heterogeneous implementation.The work presented in the HDR manuscript addresses the challenges of designing reliable and energy efficient circuits and systems for emerging technologies. It covers:-modeling and simulation for understanding the physical, electrical and thermal behavior on 3D integrated circuits.-physical design methods for power and signal integrity of 3D integrated circuits.-energy efficiency exploration on circuits based on carbon nanotube (CNT) interconnects

    On Energy Efficient Computing Platforms

    Get PDF
    In accordance with the Moore's law, the increasing number of on-chip integrated transistors has enabled modern computing platforms with not only higher processing power but also more affordable prices. As a result, these platforms, including portable devices, work stations and data centres, are becoming an inevitable part of the human society. However, with the demand for portability and raising cost of power, energy efficiency has emerged to be a major concern for modern computing platforms. As the complexity of on-chip systems increases, Network-on-Chip (NoC) has been proved as an efficient communication architecture which can further improve system performances and scalability while reducing the design cost. Therefore, in this thesis, we study and propose energy optimization approaches based on NoC architecture, with special focuses on the following aspects. As the architectural trend of future computing platforms, 3D systems have many bene ts including higher integration density, smaller footprint, heterogeneous integration, etc. Moreover, 3D technology can signi cantly improve the network communication and effectively avoid long wirings, and therefore, provide higher system performance and energy efficiency. With the dynamic nature of on-chip communication in large scale NoC based systems, run-time system optimization is of crucial importance in order to achieve higher system reliability and essentially energy efficiency. In this thesis, we propose an agent based system design approach where agents are on-chip components which monitor and control system parameters such as supply voltage, operating frequency, etc. With this approach, we have analysed the implementation alternatives for dynamic voltage and frequency scaling and power gating techniques at different granularity, which reduce both dynamic and leakage energy consumption. Topologies, being one of the key factors for NoCs, are also explored for energy saving purpose. A Honeycomb NoC architecture is proposed in this thesis with turn-model based deadlock-free routing algorithms. Our analysis and simulation based evaluation show that Honeycomb NoCs outperform their Mesh based counterparts in terms of network cost, system performance as well as energy efficiency.Siirretty Doriast

    Thermal Analysis and Active Cooling Management for 3D MPSoCs

    Get PDF
    3D stacked architectures reduce communication delay in multiprocessor system-on-chips (MPSoCs) and allowing more functionality per unit area. However, vertical integration of layers exacerbates the reliability and thermal problems, and cooling is a limiting factor in multi-tier systems. Liquid cooling is a highly efficient solution to overcome the accelerated thermal problems in 3D architectures. However, liquid cooling brings new challenges in modeling and run-time management. This paper proposes a design-time/run-time thermal management policy for 3D MPSoCs with inter-tier liquid cooling. First, we perform a design-time analysis to estimate the thermal impact of liquid cooling and dynamic voltage frequency scaling (DVFS) on 3D MPSoCs. Based on this analysis, we define a set of management rules for run-time thermal management. We utilize these rules to control and adjust the liquid flow rate in order to match the cooling demand for preventing energy wastage of overcooling, while maintaining a stable thermal profile in the 3D MPSoCs. Experimental results on multi-tier 3D MPSoCs show that proposed design-time/run-time management policy prevents the system to exceed the given threshold temperature while reducing cooling energy by 50% on average and system-level energy by 18% on average in comparison to using a static worstcase flow rate setting

    Quadrupole Electromagnetic Linear Positioning System (QELPS):: Optimal Design, Modelling and Analysis for Linear Motion Application

    Get PDF
    In linear motion systems, including linear motors and actuators, precise and controlled linear motion is provided for various applications. However, they have several drawbacks: high costs, complexity, limited stroke length, high energy consumption, speed limitations, heat generation, noise and vibration, limited load capacity, environmental considerations, and integration challenges. High costs are especially significant for applications requiring high precision. The components' complexity and additional control electronics can increase maintenance and trouble-shooting requirements. Ensuring accurate and efficient operation necessitates regular maintenance. The limitation in stroke length, determined by the drive's size and guide length, can pose challenges for applications requiring long strokes. High energy consumption can be a concern, and speed limitations may be challenging. Managing heat generation is crucial to prevent component damage. Noise and vibration can be problematic, particularly in quiet applications. Integration challenges can arise when dealing with complex systems or automation processes. To overcome some of these drawbacks, an innovative coil configuration design for linear positioning system applications is proposed. The proposed design focuses on the Quadrupole Electromagnetic linear Positioning System (QELPS), comprising four coils generating a uniform electromagnetic field to produce a Lorentz force on the slider. The QELPS design is meticulously crafted using 3D modeling in ANSYS software, and the magnetic characteristics indicate the potential for scaling this model to different levels. The power circuit of the QELPS is simulated using ANSYS Simplorer and incorporates silicon-controlled rectifiers (SCR) and a pulse width modulation (PWM) pulse generator. The design achieves a force of 27.6 newtons with the paper presenting current and force plots in comprehensive detail. Furthermore, an interactive design algorithm is introduced, facilitating the customization of this model for various linear track dimensions. This research aims to advance linear drive technology and enhance linear motion applications by developing this new coil configuration design and harnessing the Quadrupole Electromagnetic System

    Resource and thermal management in 3D-stacked multi-/many-core systems

    Full text link
    Continuous semiconductor technology scaling and the rapid increase in computational needs have stimulated the emergence of multi-/many-core processors. While up to hundreds of cores can be placed on a single chip, the performance capacity of the cores cannot be fully exploited due to high latencies of interconnects and memory, high power consumption, and low manufacturing yield in traditional (2D) chips. 3D stacking is an emerging technology that aims to overcome these limitations of 2D designs by stacking processor dies over each other and using through-silicon-vias (TSVs) for on-chip communication, and thus, provides a large amount of on-chip resources and shortens communication latency. These benefits, however, are limited by challenges in high power densities and temperatures. 3D stacking also enables integrating heterogeneous technologies into a single chip. One example of heterogeneous integration is building many-core systems with silicon-photonic network-on-chip (PNoC), which reduces on-chip communication latency significantly and provides higher bandwidth compared to electrical links. However, silicon-photonic links are vulnerable to on-chip thermal and process variations. These variations can be countered by actively tuning the temperatures of optical devices through micro-heaters, but at the cost of substantial power overhead. This thesis claims that unearthing the energy efficiency potential of 3D-stacked systems requires intelligent and application-aware resource management. Specifically, the thesis improves energy efficiency of 3D-stacked systems via three major components of computing systems: cache, memory, and on-chip communication. We analyze characteristics of workloads in computation, memory usage, and communication, and present techniques that leverage these characteristics for energy-efficient computing. This thesis introduces 3D cache resource pooling, a cache design that allows for flexible heterogeneity in cache configuration across a 3D-stacked system and improves cache utilization and system energy efficiency. We also demonstrate the impact of resource pooling on a real prototype 3D system with scratchpad memory. At the main memory level, we claim that utilizing heterogeneous memory modules and memory object level management significantly helps with energy efficiency. This thesis proposes a memory management scheme at a finer granularity: memory object level, and a page allocation policy to leverage the heterogeneity of available memory modules and cater to the diverse memory requirements of workloads. On the on-chip communication side, we introduce an approach to limit the power overhead of PNoC in (3D) many-core systems through cross-layer thermal management. Our proposed thermally-aware workload allocation policies coupled with an adaptive thermal tuning policy minimize the required thermal tuning power for PNoC, and in this way, help broader integration of PNoC. The thesis also introduces techniques in placement and floorplanning of optical devices to reduce optical loss and, thus, laser source power consumption.2018-03-09T00:00:00

    Photonic integration enabling new multiplexing concepts in optical board-to-board and rack-to-rack interconnects

    Get PDF
    New broadband applications are causing the datacenters to proliferate, raising the bar for higher interconnection speeds. So far, optical board-to-board and rack-to-rack interconnects relied primarily on low-cost commodity optical components assembled in a single package. Although this concept proved successful in the first generations of optical-interconnect modules, scalability is a daunting issue as signaling rates extend beyond 25 Gb/s. In this paper we present our work towards the development of two technology platforms for migration beyond Infiniband enhanced data rate (EDR), introducing new concepts in board-to-board and rack-to-rack interconnects. The first platform is developed in the framework of MIRAGE European project and relies on proven VCSEL technology, exploiting the inherent cost, yield, reliability and power consumption advantages of VCSELs. Wavelength multiplexing, PAM-4 modulation and multi-core fiber (MCF) multiplexing are introduced by combining VCSELs with integrated Si and glass photonics as well as BiCMOS electronics. An in-plane MCF-to-SOI interface is demonstrated, allowing coupling from the MCF cores to 340x400 nm Si waveguides. Development of a low-power VCSEL driver with integrated feed-forward equalizer is reported, allowing PAM-4 modulation of a bandwidth-limited VCSEL beyond 25 Gbaud. The second platform, developed within the frames of the European project PHOXTROT, considers the use of modulation formats of increased complexity in the context of optical interconnects. Powered by the evolution of DSP technology and towards an integration path between inter and intra datacenter traffic, this platform investigates optical interconnection system concepts capable to support 16QAM 40GBd data traffic, exploiting the advancements of silicon and polymer technologies
    • …
    corecore